In-line focus monitoring and fast determination of best focus using scatterometry

Similar documents
Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

ABSTRACT (100 WORDS) 1. INTRODUCTION

16nm with 193nm Immersion Lithography and Double Exposure

OPC Rectification of Random Space Patterns in 193nm Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Reducing Proximity Effects in Optical Lithography

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Optical Proximity Effects, part 2

What s So Hard About Lithography?

Optolith 2D Lithography Simulator

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Next-generation DUV light source technologies for 10nm and below

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Using the Normalized Image Log-Slope, part 2

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Optical Microlithography XXVIII

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Registration performance on EUV masks using high-resolution registration metrology

Managing Within Budget

Process Optimization

Optimizing FinFET Structures with Design-based Metrology

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Mirror-based pattern generation for maskless lithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Experimental measurement of photoresist modulation curves

Pellicle dimensions for high NA photomasks

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Key Photolithographic Outputs

Improved scanner matching using Scanner Fleet Manager (SFM)

Synthesis of projection lithography for low k1 via interferometry

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Characterization of e-beam induced resist slimming using etched feature measurements.

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Double Exposure Using 193nm Negative Tone Photoresist

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Lithography. International SEMATECH: A Focus on the Photomask Industry

Improving registration metrology by correlation methods based on alias-free image simulation

Imec pushes the limits of EUV lithography single exposure for future logic and memory

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Overlay accuracy a metal layer study

Metrology in the context of holistic Lithography

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Optical Proximity Effects

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Defense Technical Information Center Compilation Part Notice

Process resilient overlay target designs for advanced memory manufacture

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Process Variability and the SUPERAID7 Approach

(Ar [ Si O Si O] m )n

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

optical and photoresist effects

i- Line Photoresist Development: Replacement Evaluation of OiR

Feature-level Compensation & Control

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Critical Dimension Sample Planning for 300 mm Wafer Fabs

(Complementary E-Beam Lithography)

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Horizontal-Vertical (H-V) Bias

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

1. INTRODUCTION ABSTRACT

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Challenges of EUV masks and preliminary evaluation

Line edge roughness on photo lithographic masks

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Characterization of a Thick Copper Pillar Bump Process

Transcription:

In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich, b SeungHoon Yoon, b David Tien, b John Robinson, b Saroja Ramamurthi a Samsung Austin Semiconductor, 12100 Samsung Boulevard, Austin, TX 78754, U.S.A b KLA-Tencor Corporation, 1 Technology Drive, Milpitas, CA 95035, U.S.A. ABSTRACT Persistently shrinking design rules and increasing process complexity require tight control and monitoring of the exposure tool parameters [1, 2]. While control of exposure dose by means of resist single metric measurements is common and widely adopted. Focus assessment and monitoring are usually more difficult to achieve. A diffused method to determine process specific dose and focus conditions is based on plotting Bossung curves from single CD-SEM measurements and choosing the best focus setting to obtain the desired target CD with the widest useful window. With this approach there is no opportunity to build a data flow architecture that can enable continuous focus monitoring on nominal production wafers [3-5]. KLA-Tencor has developed a method to enable in-line monitoring of scanner focus on production wafers by measuring resist profile shapes on grating targets using scatterometry, and analyzing the information using AcuShape and K-T Analyzer software. This methodology is based on a fast and robust determination of best scanner focus by analyzing focus-exposure matrices (FEMs). This paper will demonstrate the KT CDFE and FEM Analysis methods and their application in production environment. Keywords: focus, process control, lithography 1. INTRODUCTION The merits of hyper Numerical Aperture (NA) imaging using 193nm exposure wavelength with water immersion since 75nm nodes have been demonstrated widely by the semiconductor industry. The same industry is driven by ground rule shrinks thereby reducing manufacturing cost and improving device performance. The non-readiness of Extreme Ultra Violet (EUV) lithography has forced manufacturers to continue to settle for 193 immersion tools with NA 1.35. Moving to sub-32nm nodes, NA scaling has become more a threat than a viable solution; therefore, aggressive k1-scaling has been explored with a large set of approaches including Resolution Enhancement Technology (RET) such as Optical Proximity Correction (OPC), Source/Mask Optimization and others, in addition to a heterogeneous landscape of pattern split/duplication integrated process flows. All of these factors have led to a dramatic shrink of useful process windows which imply imposing extremely tight requirements on parameters such as critical dimension uniformity (CDU). Nevertheless all of these workarounds have generated a stall in the sensitivity of process monitor gratings at design rule, which have started to show almost flat response to defocus modulations and sudden window reductions associated with pattern roughness increase or profile changes and pattern collapse. As low k1 imaging processes are generally more sensitive to process variations, a critical area for successful process parameters optimization is seen in the wafer level effective defocus and dose fluctuations characterization and control. Therefore real-time monitoring capability to detect local focus and exposure conditions on production wafers becomes necessary. The usage of Scatterometry Critical Dimension (SCD) metrology based on spectroscopic scatterometry has shown to provide numerous benefits spanning from an excellent repeatability in the determination of physical properties of patterned structure profile which is not limited to CD only, to unmatched measurement throughput (typically less than 2 seconds per measurement) which enables high rate sampling. These factors are aligned with the actual need of production lines for a quick and easy determination of on-product best focus and in line wafers focus and dose error Metrology, Inspection, and Process Control for Microlithography XXVIII, edited by Jason P. Cain, Martha I. Sanchez, Proc. of SPIE Vol. 9050, 90502Y 2014 SPIE CCC code: 0277-786X/14/$18 doi: 10.1117/12.2047576 Proc. of SPIE Vol. 9050 90502Y-1

determination. In this paper we will concentrate on the determination of best scanner focus and on-product focus monitoring using 28nm product wafers by utilizing a fully-automated solution suitable for high volume manufacturing. 2. SCATTEROMETRY MEASUREMENTS Scatterometry measurements require target structures that are periodic in nature. While it is possible, in principle, to measure the device patterns themselves, provided those are repeating over the measurement spot, it is common to use simple line/space proxy targets for easier model setup. In contrast to previous publications [6],[7], which describe the use of specifically designed isolated structures to extract focus information, this work utilizes dense grating targets at critical design rule dimensions. For the process this work is based on, dense gratings are being used for dose control and thus do exist in the layout already, so no additional GDS design was required. Further, dense gratings are more likely to behave similar to the device, which leads to more representative measurement results. Grating targets at design rule are already widely used in the industry for CD-SEM and scatterometry-based metrology, therefore a consistent reference baseline for the CD parameters already exists and represents the fundamental link to the final successful assessment of a proper patterning process. Another advantage of applying the metrology effort on design rule targets is the absence of potential cross-talk between coma aberration and shape of the target itself which would definitely end up into inaccurate focus latitude assessment. To achieve robust measurements, and to deconvolve lithography from process information, the scatterometry signal is taken from two different structures. First, film thicknesses of process layers underneath the grating are measured on an unpatterned film stack pad. Second, the results of the first step are fed forward while measuring the actual grating target. The advantages and benefits of this approach have been discussed previously [7]. Figure 1: Feed forward of films and grating measurement (schematic cross section) For the experiments described, scatterometry measurements have been taken on two different front end layers in the 28nm logic process, with different process layers underneath and slightly different dense resist gratings. This same approach was previously verified as a learning cycle on a 32nm logic process as foundational work toward the work reported in this paper. 3. METHODOLOGY OF FOCUS MONITORING The method used in this work utilizes an Archer 300 LCM scatterometry measurement tool, the AcuShape modeling software and the K-T Analyzer analysis package. The procedure is comprised of the following steps: Using the AcuShape software, build a model to extract resist profile geometry (such as line width, profile height and side wall angle) from measurements taken from the scatterometry tool Process a focus exposure matrix (FEM) wafer and build a model to describe the relationship between focus and dose Apply this model to scatterometry measurements taken on production wafers to calculate focus and dose information from resist profile parameters Proc. of SPIE Vol. 9050 90502Y-2

A schematic illustration for the focus monitoring is depicted in Figure 2. AcuShape Archer300 LCM AcuShap Software im0 ev- Spectra Figure 2: Schematic Data Flow Grating Profile A detailed description of those components was provided in a previous publication [6]. 4. FOCUS MONITORING EXPERIMENTAL RESULTS In order to verify the accuracy of the approach described above, a set of six wafers (5 positions) was exposed as illustrated in Figure 3. Each wafer was processed with nominal exposure conditions, but with intended focus offsets in steps of 15nm from wafer to wafer. Essentially, this experiment is an attempt to represent scanner focus drift over time. -30 nm -15 nm +15 nm +30 nm Figure 3: Focus monitor test wafers Figure 4 shows the comparison of the designed and the measured focus offset as average focus value per wafer, and Table 1 summarizes the results. It can be seen that the evaluated methodology is able to report wafer-to-wafer focus variations quite accurately. It shall be noted that only one grating target per exposure field was available on these wafers, therefore neither intra-field signatures could be evaluated nor field averaging could be performed. Previous studies have shown intra-field focus variations larger than 20nm [7]. Proc. of SPIE Vol. 9050 90502Y-3

2(9411, W 6 Figure 4: Layer A and B focus monitor correlation plots Layer Slope Intercept R² RMSE A 0.831-1.756 0.988 4.9 B 1. 190-6.835 0. 949 7. 8 Table 1: Layer A and B focus monitor simulation results Figure 5 shows sample data of in-line focus monitoring of the above-mentioned layer A. The Y-Axis shows the lot average of measured focus, and the x-axis is time. Note that data from multiple exposure tools is included in this graph. 2013-09-17 17:51:58, Scanner 1 2013-09-17 18:02:08, Scanner 3 2013-09-19 18:03:19, Scanner 2 2013-09-19 18:18:28, Scanner 4 2013-09-19 18:25:26, Scanner 2 2013-09-30 16:27:00, Scanner 3 2013-09-30 16:38:25, Scanner 3 2013-10-07 13:29:59, Scanner 3 2013-10-11 14:38:49, Scanner 3 2013-10-15 14:32:24, Scanner 3 Figure 5 Proc. of SPIE Vol. 9050 90502Y-4

5. METHODOLOGY OF BEST FOCUS CALCULATION Currently, scanner best focus is commonly determined using CD-SEM measurements. As different device patterns react differently to focus and dose, optimal exposure conditions need to be found and validated on multiple structures using a CD-SEM which is relatively slow. The entire procedure can become quite time consuming; several hours are usually required to obtain results. Furthermore, the actual determination of best focus is, to a certain extent, a manual process which potentially leads to dangerous user-to-user variations of the final results. In contrast, the method described herein is an automated process devoid of user interaction, and is executed using scatterometry measurements which are significantly faster than those from a CD SEM. For the determination of scanner best focus, the process window capabilities of the K-T Analyzer software are leveraged. The same grating targets and measurement approaches as described in Section 2 are employed. Listed below are the steps required to obtain the necessary data: Extract the resist profile parameters using the same AcuShape model as in section 2 For each of the parameters (width, height, angle), define the allowable range (process window). Such ranges for height and side wall angle, in particular for resist, may not be known beforehand. If that is the case, initial reference measurements, e.g. from CD-SEM, are required to assess the process window for each parameter. Read the scanner s best focus as the center of the overlapping process windows Beel.Cne 9. Ex - CIO 1,1CD - CIO 2/,,V Figure 6: Overlapping process window principle 6. BEST FOCUS EXPERIMENTAL RESULTS A similar set of five wafers as was used in section 4 was exposed (Figure 7). All five wafers were processed as focus exposure matrices (using 15nm focus increments from wafer to wafer) while centering each wafer on a different nominal focus value. Proc. of SPIE Vol. 9050 90502Y-5

Figure 7: Best focus test wafers The same two front end layers as in the previous experiment were evaluated. The results of this experiment are shown below in Figure 8 and Table 2. Figure 8: Layer A and B best focus correlation plots Layer Slope Intercept R² RMSE A 1.277-12.921 0.970 11.7 B 1. 056-6.259 0. 964 7. 3 Table 2: Layer A and B best focus results 7. CONCLUSIONS AND FUTURE WORK Based on experimental data, it was shown that the Archer 300 LCM and K-T Analyzer solution is suitable for focus monitor and best focus applications in a 28nm process. It has been shown as well that a suitable way for running product best focus assessment and focus monitor is viable using existing conventional gratings at the critical design rule without the need for specific targett design optimization and subsequent mask tape-out iterations. For focus monitoring, it was demonstratedd that a possible focus drift can be detected at an error level of approximately 5 to 8nm (RMSE). Scatterometry technology is an enabler for this use case, as commonly used CD-SEM tools neither provide enough information from the resist profile, nor fulfill the tight throughput requirements for in-line measurements at higher sampling rates. Similarly, best focus can be determined at an error level of 7 to 12nm at a higher level of confidence, less user-to-user variability and shortened time-to-results, the latter again due to the extremely high throughput advantage of scatterometry technology. Proc. of SPIE Vol. 9050 90502Y-6

For future usage and implementation, multiple grating targets per exposure field should be considered, first to assess intra-field signatures, and second, to gain higher statistical confidence. ACKNOWLEDGEMENTS The authors would like to thank Edward Becerra from Samsung Austin Semiconductor and Luis Ortiz from KLA-Tencor for their valuable support and contribution. REFERENCES [1] Ausschnitt, C. P., Distinguishing dose from defocus for in-line lithography control, Proc. SPIE 3677 (1999) [2] Kahlenberg, F. et al., Best focus determination: bridging the gap between optical and physical topography, Proc. SPIE 6520 (2007) [3] Bossung, J.W., "Projection Printing Characterization", Developments in Semiconductor Microlithography II, Proc. SPIE 0100 (1977) [4] Lensinge, K. at al., Lithography process control using scatterometry metrology and semi-physical modeling, Proc. SPIE 6518 (2007) [5] Brunner, T.A. et al., Focus and dose characterization of immersion photoclusters, Proc. SPIE 7274 (2009) [6] Kim, Y.K. et al., Lithography Focus/Exposure Control and Corrections to Improve CDU, Proc. SPIE 8681 (2013) [7] Hinnen, P. et al., Scatterometry-based on-product focus measurement and monitoring, IEEE (2013) Proc. of SPIE Vol. 9050 90502Y-7