UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Similar documents
From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Progresses in NIL Template Fabrication Naoya Hayashi

CRITICAL DIMENSION CONTROL, OVERLAY, AND THROUGHPUT BUDGETS IN UV NANOIMPRINT STEPPER TECHNOLOGY

Hard Disk Drive Industry Driving Areal Density and Lithography

Holistic View of Lithography for Double Patterning. Skip Miller ASML

2009 International Workshop on EUV Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Advanced Patterning Techniques for 22nm HP and beyond

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Development of Nanoimprint Mold Using JBX-9300FS

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Evaluation of the Imprio 100 Step and Flash Imprint Lithography Tool

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

EUVL getting ready for volume introduction

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Inspection of templates for imprint lithography

(Complementary E-Beam Lithography)

Process Optimization

Part 5-1: Lithography

Major Fabrication Steps in MOS Process Flow

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Feature-level Compensation & Control

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

16nm with 193nm Immersion Lithography and Double Exposure

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

High Throughput Jet and Flash* Imprint Lithography for semiconductor memory applications. Abstract

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Update on 193nm immersion exposure tool

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography

Imaging for the next decade

MAPPER: High throughput Maskless Lithography

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Micro Photonics, Berlin

Status and Challenges for Probe Nanopatterning. Urs Duerig, IBM Research - Zurich

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography

Optical Microlithography XXVIII

Advanced Packaging Solutions

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

From ArF Immersion to EUV Lithography

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Analysis of critical dimension uniformity for step and flash imprint lithography

Low-Cost Nanostructure Patterning Using Step and Flash Imprint Lithography

Strategies for low cost imprint molds

DSA and 193 immersion lithography

EUV Lithography Transition from Research to Commercialization

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Metrology in the context of holistic Lithography

Challenges of EUV masks and preliminary evaluation

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Evaluation of Technology Options by Lithography Simulation

Managing Within Budget

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Scope and Limit of Lithography to the End of Moore s Law

Device Fabrication: Photolithography

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Defect inspection of imprinted 32 nm half pitch patterns

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Optical Maskless Lithography - OML

Lithography Industry Collaborations

Lithography on the Edge

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Negative tone development process for double patterning

EUV Substrate and Blank Inspection

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Energy beam processing and the drive for ultra precision manufacturing

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Mask Fabrication For Nanoimprint Lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Photolithography Technology and Application

EUV Light Source The Path to HVM Scalability in Practice

EE 143 Microfabrication Technology Fall 2014

Competitive in Mainstream Products

Imec pushes the limits of EUV lithography single exposure for future logic and memory

High-performance wire-grid polarizers using jet and Flash imprint lithography

Transcription:

UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment and Overlay Defect Control Throughput Stepper roadmap Summary

Step & Flash Imprint Lithography (S-FIL) High resolution fused silica template, coated with release layer Template Step 1: Dispense drops Planarization layer Substrate Imprint fluid dispenser Low viscosity fluid (Si-containing for S-FIL, Organic for S-FIL/R) Step 2: Lower template and fill pattern Step 3: Polymerize imprint fluid with UV exposure Template very low imprint pressure < 1/20 atmosphere at room temp Planarization layer Substrate Same Process Used for Step & Repeat and Whole Substrate Patterning Planarization layer Substrate Step 4: Separate template from substrate Template Planarization layer Substrate Step & Repeat

Applications Summary S-FIL is an enabling technology for manufacture of nano-scale features below 50nm Low cost Technology extendable to less than 10nm Opportunity from multiple and diverse markets High brightness LED s, hard disk drives; relaxed overlay requirements; whole substrate patterning Semiconductors; tight overlay requirement; stepper

Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment and Overlay Defect Control Throughput Stepper roadmap Summary

Imprint Resolution: 32nm Half Pitch VSB PG

CD Budget for Imprint Lithography (Proposed) CDU T = {(CDU M ) 2 + (CDU I ) 2 + (CDU E ) 2 } ½ Table: CDU Budget Elements (in nm 3σ) HP CDU T CDU M CDU E CDU I 32nm 3.2nm 2.5nm 1.75nm 1nm 22nm 2.2nm 1.75nm 1nm 0.9nm To achieve the CDU E of < 1nm, 3σ (for 22nm half-pitch, see Table 1), the total residual layer variation should be <5nm, 3σ

Drop Dispense Approach Can handle pattern density variations Compensate for systematic process variations Can achieve tight control over residual layer variation More drops for denser pattern areas Feature Height of 75nm for 30nm features RLT = 10nm mean

Transfer and Residual Layer Thickness Measurements 1 nm adhesion layer thickness measurement Ultra-thin adhesion layer Residual layer mean <20nm and thickness variation to < 6 nm TIR MII Metrosol Mean: 1.09nm Sigma: 0.05nm Max: 1.22nm Min: 0.94nm 100 80 60 40 20 0 x, y (mm) -100-80 -60-40 -20 0 20 40 60 80 100-20 -40-60 Residual Layer Thickness (nm) 25 20 15 10 5 0 Average 18.760 Std Dev 1.103 Min 16.156 Max 21.853 Range 5.696 Residual layer thickness fully populated wafer 1 10 19 28 37 46 55 64 73 82 91 100 109 118 127 136 145 154 163 172 181 190 199 208 217 Position # -80-100 28 nm HP

Etch: Critical Dimension and Line Edge Roughness At imprint CD = 36.2 ± 1.1 nm LER = 2.9 nm Descum CD = 34.6 ± 1.4 nm LER = 2.5 nm Hardmask etch CD = 34.7 ± 1.0 nm LER = 2.8 nm O2 strip CD = 36.7 ± 1.4 nm LER = 2.9 nm Critical dimension is maintained at ~36 nm at the end of the process. Line edge roughness (LER) is maintained at ~2.9 nm.

Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment and Overlay Defect Control Throughput Stepper roadmap Summary

Alignment and Overlay Alignment is achieved using matched Moiré fringe patterns on both template and substrate based on a technique originally developed for X-ray proximity printing at MIT Imprint fluid lubricates movement and dampens vibration Align mechanics Template Substrate <100nm Magnification mechanics Substrate Template d(phase) Target Acquisition Resolution Test 0.2 0.15 0.1 0.05 0-150 -100-50 0-0.05 50 100 150-0.1-0.15 dx(nm)-template

Field-To-Field Alignment System One of Eight Interferometric Moiré Alignment Technique (i-mat) Cameras Imprint Mask Alignment Marks Imprint area Wafer Technology originally developed for proximity x-ray (Moon, Smith et al., JVST, 1998)

Representative Alignment Data (From Four Corners) Four corner alignment data over the wafer represents basic machine precision in X, Y, Theta, MagX, MagY and Ortho directions: 5nm 3sigma in X 6nm 3sigma in Y

Multi-Machine Mix-and-Match Overlay Results Mix and match overlay performance with two different 193nm scanners has been demonstrated Overlay metrology performed using an industry standard KT overlay tool. 32 fields per wafer, 81 positions per field Achieved sub 20nm, 3σ results Challenges to move to sub- 10nm overlay appear to be engineering related.

Matched Machine Overlay Results ~15nm (μ+3σ) Matched machine overlay (MMO) (μ+3σ) of 11nm in X and 15nm in Y ~100 overlay metrology marks per field

Imprint to Photo Overlay Budget Components J Other Process Distortions (CMP, Film Depositions, Etc.) G Template/Mask Pattern Generation Distortion E Thermal In-Plane Template Distortions A X- Y- Alignment Noise (Machine Noise) D B Full Mix-and-Match Process Overlay (FM&MPO) Mix-and-Match, Multi- Template/Mask Overlay (M&MMTO) Single Machine Overlay (SMO) Field Alignment Accuracy MagX, MagY, Ortho Noise (Mag Actuator Noise) H Distortion Due to Tool to Tool Template/Mask Chuck Shape Difference F Thermal In-Plane Wafer Distortions C i-mat Moiré Alignment Metrology Noise I Distortion Due to Imprio to Photo Tool Wafer Chuck Shape Difference Temperature Control Machine Precision K Photo Tool Lens & Scan Speed Matching Distortion

Overview Introduction Stepper technology status: Patterning and CD Control Alignment and Overlay Defect Control Throughput Stepper roadmap Summary

S-FIL Defectivity 1. Template Fab Defects Template 4. Bubbles 2. Material Contaminants 3. Front Side Particles Planarization layer Substrate 5. Back Side Particles Template Planarization layer Substrate Planarization layer Substrate 6. Improper Release Template Planarization layer Substrate 7. Post-Imprint Fall-On Particles

Defect Inspection of 32-44 nm HP Patterns Imprint mask processes, inspection technology, and imprint technology are all at the leading edge at 32nm HP Very challenging to quantify imprint-specific defectivity at 32nm HP Early results are very promising 300 mm wafers imprinted with an imprint mask containing 32-44 nm halfpitch features and 26 x 32 mm field Field: 16 X 13 cell array Cell: containing inspected Line Blocks

KT-eS32 Inspection Results Total Defects Captured by KT - es32 False counts removed Imprinted 300 mm wafer 5 fields were inspected with a KT-eS32 35 nm pixel 416,000 Line-Block features were inspected < 0.1% of the Line Blocks were found to have defects Feature Area Open Area Missing Lines Line Break Write Error Line Shift Line Collapse

Random Defects Defects captured only once in the five inspected fields < 0.002% Line Blocks had random defects The Feature Area defects are not truly random, these were only captured once The line collapse defect is probably due to post-imprint contamination by fluid. The source of the Line Shift defect is unknown. Line Shift Feature Area Random Defects Captured by KT- es32 Line Collapse Line Shift Defect Missing Lines

E-beam Die-to-Database Inspection NanoGeometry Research Inc. Acc. Voltage: 400 3000 V Probe current: 500pA to 10nA Resolution: 3 nm to 20 nm Sampling rate: 200 M pixel/sec Inspection Rate: 1mm 2 /115sec (at 12 nm) Wafer size: 300 mm and 200 mm Actual Inspection Sequence 1. Capture Feature Image Example 2. Outline Feature with a Contour 3. Overlay the GDS 4. Measure the Contour vs. GDS Bias 5. Compare Bias to Process Window Verifiers Corner Rounding

Die-database Mask Inspection GDS Layout SEM with GDS Layout 40 nm Metal 1

Inspection Results: Programmed Defects SEM GDS Overlay

Overview Introduction Stepper technology status: Patterning and CD Control Alignment and Overlay Defect Control Throughput Stepper roadmap Summary

Throughput Risk: Fast Fluid Fill For HVM, need fluid fill of < 1 second/field for >20 wph @ 100 fields per wafer Keys to Fast Fluid Fill Low viscosity imprint resist (monomer) Small drop volume: Pico liter sized drops Template contact geometry control GDS based volume targeting Inclined template geometry creates fluid wave-front to avoid air trapping between liquid drops 6pl drop Contact geometry control Fluid fill direction

GDS Based Drop Targeting and Fluid Front Control Medium Pattern Density Region Low Pattern Density Region High Pattern Density Region

GDS Based Volume and Fluid Front Control No fluid front control Fluid front control Drop Pattern Grid GDS-II Based Grid GDS-II Based Mask Design 1: High Pattern Density Variance Mask Design 2: Low Pattern Density Variance >40 25 30 4 30 15 10 3 GDS based volume compensation and fluid front control are both needed This data collected for 6 pl minimum drop volume and for 25nm mean residual layer

Throughput Summary & Next Steps Need to improve filling time be a factor of 4X to achieve targeted CoO Several promising options have been identified and are being implemented For e.g. Smaller drops of liquid Better understanding of drop placement optimization Improved control over fluid front geometry Lowered viscosity Cost structure of technology allows the clustering of multiple imprint modules

Overview Introduction Stepper technology status: Patterning and CD Control Alignment and Overlay Defect Control Throughput Stepper roadmap Summary

Imprint Litho Technology Roadmap for Semiconductors (ILTRS) 2007 2008 2009 2010 2011 2012 2013 Imprio 300 4wph / 35nm O/L Imprio 3XX 4wph / 20nm O/L HVM 20wph / 15nm O/L HVM Cluster 80wph / 7nm O/L 32, 28nm Development & Integration 22nm Process Development 32, 28, 22nm Process Development & Integration 32, 28, 22nm Manufacturing 16nm Process Development 28, 22, 16nm Mfg

Cost of Ownership Advanced Lithography Alternatives Immersion and EUV Source: ASML Investor Day 2007 Imprint Source: Molecular Imprints * * industry consensus input

Technology Status for CMOS Imprint for sub 32nm HP Current 2008 Planned 2010 Imprint Mask VSB Resolution <32nm <28nm <22nm Image Placement 4nm 2nm 2nm Inspection 20nm but slow 7nm 5nm Repair 30nm 7nm 5nm Overlay 193 nm mix & match 20nm 10nm 7nm Throughput Prototyping 5wph 5wph 5wph Manufacturing 10wph feasibility 20wph 80wph Projected 2012 Defects Imprint Mask <0.1cm-2 <0.01cm-2 <0.01cm-2 Imprint <1cm-2 <0.1cm-2 <0.01cm-2 Imprint Mask use before clean 10 3 imprints 10 5 imprints 10 6 imprints

Overview Introduction Stepper technology status: Patterning and CD Control Alignment and Overlay Defect Control Throughput Stepper roadmap Summary

Stepper Technology Summary Patterning and CD Control Through Etch Sub-20nm half-pitch (GB) 32nm half-pitch (VSB) LWR of ~2.5nm 3σ demonstrated Sub-20nm thin uniform residual layer for etch Tight CD control through etch demonstrated for 42nm and 32nm patterning Alignment and Overlay Alignment of ~ 5nm (μ+3σ) MMO of <15nm (μ+3σ), feasibility of 11nm (μ+3σ) demonstrated M&M of ~20nm (μ+3σ) Defect Control Achieving <1 def/cm-2 at 70nm pixel size Low imprint-specific defectivity at 32nm half-pitch Throughput 4X improvement needed in fluid filling to meet 20 wph CoO target is <50% of 193i DPT

37 Molecular Imprints HDD Roadmap Molecular Imprints HDD Platforms PLATFORM Imprio 1100 R&D 60dph single-sided sided system (with automation) Imprio HD2200 Pilot 180dph double-sided system, (with automation) HVM Production 350-700dph >350dph double-sided system (2-4 4 module cluster tool with automation)

Imprio HD2200 Pre-Clean Room Assembly Line

Conclusions Sub-32nm lithography choices are quickly narrowing Imprint emerging as a leading solution that is: Cost effective Extendible Leveraging optical infrastructure: masks, mix-and-match, integration Hard disk patterned media will provide extensive high volume manufacturing experience in the near future MII stepper roadmap includes high throughput HVM tools starting in late 2009

Acknowledgements