Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Similar documents
Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Wafer Backside Inspection Applications In Lithography

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Photolithography Technology and Application

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Ultra-thin Die Characterization for Stack-die Packaging

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S

ABSTRACT (100 WORDS) 1. INTRODUCTION

Part 5-1: Lithography

CD-SEM for 65-nm Process Node

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Registration performance on EUV masks using high-resolution registration metrology

Process Optimization

An Introduction to Automatic Optical Inspection (AOI)

IEOR 130 Methods of Manufacturing Improvement Solutions to HW #5 Fall 2018, Prof. Leachman

Managing Within Budget

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Leading in Desktop SEM Imaging and Analysis

2009 International Workshop on EUV Lithography

i- Line Photoresist Development: Replacement Evaluation of OiR

In-line focus monitoring and fast determination of best focus using scatterometry

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Product Requirements Document: Automated Cosmetic Inspection Machine Optimax

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

Packaging Fault Isolation Using Lock-in Thermography

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

New methodology for through silicon via array macroinspection

Automated Particle Counting Systems Fast, Accurate Measurement Data

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Reducing Proximity Effects in Optical Lithography

Major Fabrication Steps in MOS Process Flow

Fabricating 2.5D, 3D, 5.5D Devices

Mask Technology Development in Extreme-Ultraviolet Lithography

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Overlay accuracy a metal layer study

Techniques for Suppressing Adverse Lighting to Improve Vision System Success. Nelson Bridwell Senior Vision Engineer Machine Vision Engineering LLC

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications

Market and technology trends in advanced packaging

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

EUV Substrate and Blank Inspection

Photolithography I ( Part 1 )

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Optical Microlithography XXVIII

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Copyright 2000 Society of Photo Instrumentation Engineers.

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Dark Field Technologies In-Situ Defect Detection Practical Considerations and Results

Characterization of e-beam induced resist slimming using etched feature measurements.

Defense Technical Information Center Compilation Part Notice

Device Fabrication: Photolithography

More Info at Open Access Database by S. Dutta and T. Schmidt

Update on 193nm immersion exposure tool

LASER-BASED NDT OF TITANIUM AIRCRAFT ENGINE COMPONENTS J. Doyle Jr and M. J. Brinkman Laser Techniques Company, LLC, Bellevue, USA

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Counterfeit identification method of plastic encapsulated microcircuits using scanning acoustic microscope

Comparison of actinic and non-actinic inspection of programmed defect masks

CHARACTERIZATION OF FLIP CHIP BUMP FAILURE MODES USING HIGH FREQUENCY ACOUSTIC MICRO IMAGING

Standard Operating Procedure of Atomic Force Microscope (Anasys afm+)

Digital Photographic Imaging Using MOEMS

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Optimizing FinFET Structures with Design-based Metrology

The History and Future of Measurement Technology in Sumitomo Electric

OPC Rectification of Random Space Patterns in 193nm Lithography

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Optolith 2D Lithography Simulator

Camera Overview. Digital Microscope Cameras for Material Science: Clear Images, Precise Analysis. Digital Cameras for Microscopy

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Metrology in the context of holistic Lithography

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Design, Characteristics and Performance of Diamond Pad Conditioners

Agilent Cary 610/620 FTIR microscopes and imaging systems RESOLUTION FOR EVERY APPLICATION

Camera Overview. Digital Microscope Cameras for Material Science: Clear Images, Precise Analysis. Digital Cameras for Microscopy

MICROCHIP MANUFACTURING by S. Wolf

Diverse Lasers Support Key Microelectronic Packaging Tasks

Post-OPC verification using a full-chip Pattern-Based simulation verification method

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Advanced Packaging Solutions

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with Double Sided Microlens and Spatial Filter Array

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

Repair System for Sixth and Seventh Generation LCD Color Filters

Damage-free failure/defect analysis in electronics and semiconductor industries using micro-atr FTIR imaging

Transcription:

Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented at 31st International Symposium, Microlithography - An SPIE Event February 2006 ABSTRACT Defects on the backside of a wafer during processing can come from many sources. Particles and scratches on the backsides of wafers can be caused by wafer handling equipment such as robots and chucks, as well as by CMP processes. In addition, cross-contamination of wafers and handling equipment can occur when wafers move from tool to tool, through the production line. When wafers are exposed, backside defects can cause localized areas of poor lithography pattern resolution on the frontsides of wafers, resulting in increased rework rates, decreased throughput, and yield loss. As minimum feature sizes continue to shrink with each new technology node, devices become denser and exposure tool depth of focus decreases making the elimination of lithography hot spots an even more critical issue. At a major worldwide DRAM Manufacturer, automated macro defect inspection tools for integrated front, edge, and backside inspection have been implemented to inspect wafers at After Develop Inspection (ADI) and After Clean Inspection (ACI) steps. These tools have been used to detect foreign material and scratches on the backsides of several lots that were caused by another process tool, causing photolithography hot spots. This paper describes advanced macro inspection of wafer front and back surfaces and how the inspection data was used to correlate backside defects to photolithography hot spots, and take corrective action. Keywords:, Defects, Photolithography, Hot Spots, Macro Inspection, Semiconductor, Process Development 1. INTRODUCTION Detection of front surface defects on wafers has traditionally been of primary concern to semiconductor device manufacturers, while little attention has been paid to defects located on the backside. However with advancing technology nodes, semiconductor device manufacturers have become increasingly aware that defects located on the backside can have a significant impact on yield, rework rates, and scrap. scratches and particles, in particular, can contribute to photolithography issues by distorting wafer flatness during exposure and causing photolithography hot spots. If the hot spots are detected prior to etch, wafers can be reworked; otherwise, the wafers must be scrapped or will have significant yield loss. Ideally, root cause analysis should be carried out but proper analysis requires the ability to inspect both the backside and frontside of the product wafer, to correlate the inspection results and to identify the various backside defects that may be causing the problem. One possible solution is to use existing patterned frontside and unpatterned backside inspection tools to perform the two inspections separately and, at a later stage, combine the resulting wafer maps to match backside defects against frontside yield issues. However, this method requires several manual intervention steps and therefore, creates inefficient feedback and throughput conditions. If the backside inspection tool is a laser-based inspection system, it presents another set of challenges. Current laserbased inspection systems are designed for micro-inspection, and while their high sensitivity can pick up very small defects, their macro-inspection capability is not ideal due to inaccurate reporting of macro-defect sizes and coordinates.

In photolithography inspection applications, it is the larger macro-defects that have the most impact on frontside yield because they can cause greater warpage to the front surface of the wafer. In addition, laser-based inspection tools can only capture the scattering profile of the defect. This data is insufficient for determining the source of the defect and often requires further optical or SEM review. Unfortunately, optical or SEM review of the backside of a product wafer requires flipping the wafer upside down, causing the front wafer surface to be in direct contact with the wafer chuck. This type of manual review not only destroys the product wafer, it also contaminates the wafer chuck. Therefore, a more appropriate tool for this type of process monitoring is a high throughput macro-inspection system capable of inspecting both the frontside and backside of a patterned wafer, capturing color images for offline review and generating an integrated wafer map for further correlation study. 2. INSPECTION METHODOLOGY The customer uses an AXi Series frontside inspection system with integrated backside (B20) and edge (E20) inspection at several ADI and ACI inspection steps. The AXi scans the product wafer, acquires images and compares the acquired images against a dynamic die model, allowing the system to detect a wide range of process variation and wafer anomalies, including defocus and other pattern defects. The B20 system detects a variety of backside defects including scratches, pits, stains, residuals, and particles. It scans the wafer and performs an unpatterned wafer inspection using either darkfield or brightfield illumination. The wafer center and wafer orientation are calculated in order to accurately determine and report XY coordinates of defects. Determining the defect location is critical for the purpose of accurately correlating backside and frontside defects, as well as sharing the defect locations with other defect review tools (optical and SEM). The B20 uses a color camera to collect the images, enabling the system to provide defect color, morphology and sizing data. This additional data set can prove valuable during root cause analysis especially if backside review of a patterned wafer is not possible due to the high scrap cost. Figure 1. The B20 camera uses brightfield or darkfield illumination and a color camera to collect images as the wafer rotates. Over the past six months the customer has implemented this all-surface inspection system as part of a comprehensive process control program, initially on an experimental basis on a single layer. While a number of more traditional inspection systems are used throughout the fab including separate front and backside inspection systems, all-surface macro inspection has been deployed with the goal of achieving a more comprehensive After Develop Inspection (ADI) while producing more immediate feedback as to possible root causes and corrective actions. All-surface macro inspection requires that frontside and backside inspection be performed in a concurrent or serial inspection step without incurring additional overhead. Without all-surface inspection capability, an excursion would be detected as a defect on the frontside of the wafer. If a backside condition is suspected to be the cause, one or more

wafers would be transferred to a separate backside inspection tool for further analysis. This inspection flow requires additional transfer time, queuing and personnel availability. Once the backside inspection was performed, the data would then be transferred to a common database for correlation with the frontside data. Depending on the equipment used for backside inspection, actual images of these defects may not be available, requiring an additional transfer to an optical review station. (See Figure 2.) inspection systems typically handle wafers via edge gripping so that no patterning on the front surface is destroyed. review, however, presents a major problem. SEM review requires flipping the wafer, because the sample must be placed onto a vacuum chuck. This will not only destroy the frontside pattern, it will also contaminate the chuck, requiring down time for the SEM tool as the chuck is decontaminated. An alternative is to perform a simple manual optical review of the backside. This is essentially flipping the wafer over and looking at the backside under a low incidence broadband light. However, this approach is generally ineffective toward root cause analysis because the operator cannot see microscopic images or automatically drive from defect to defect. Unfortunately, existing laser-based backside inspection tools typically do not have image capture capability. They can only document defect scattering signatures and their locations. Scattering signatures may be insufficient for performing root cause analysis because they do not contain any information about the defect color, morphology, or area. In addition, laser-based inspection tools are known to occasionally report the wrong location and size of macro-defects due to a shadowing effect. Without this richer set of defect characteristics and accurate location data, it is difficult to perform a proper root cause analysis without some type of backside review, which as previously described, presents its own set of challenges. Data Analysis Hot spots & Defects Correlated Defect Source Identified Stepper Frontside Macro or Micro Inspection Laser-based Micro Inspection System Optical Review Figure 2. Diagram showing data flow for traditional hot spot detection to defect source identification. Due to separate frontside and backside inspection points and a less-optimal data set, additional data analysis and defect review are required. By comparison, a single inspection station, capable of performing both frontside and backside inspection in a single step as shown in Figure 3, eliminates the need for additional transfer time between the different inspection modules. Moreover, the system can combine the frontside and backside results before sending them to a data analysis system. If the system has a built-in color image capture capability, it can eliminate costly backside optical or SEM review. Lastly, if the system is an image-based system, it may be immune from the shadowing effect and will present more accurate sizing and location data for macro-defects. Inspection Module Data Analysis System Hot Spots & Defects Correlated and Source Identified Stepper Integrated Frontside & Macro Inspection and Image Capture System

Figure 3. Diagram showing data flow for improved hot spot detection to defect source identification. Due to integrated frontside and backside inspection points and a richer data set, backside defects can be quickly identified and correlated to frontside hot spots. The first step in creating a comprehensive ADI strategy is to correlate frontside and backside data immediately following the inspection without requiring transfer of the data to a separate data management system. Even though sophisticated fab-wide data management systems are capable of data correlation, they can be cumbersome to navigate and are not set up to automatically match frontside and backside scans of different inspection tools. Potential delays in finding the root cause of defects may increase risks and costs, ultimately leading to unnecessary yield loss. The second step is to perform a set of controlled experiments using backside defects that can be traced throughout the lithography process. A challenge in performing root cause analysis of backside defects is that in a non-controlled environment, backside defects may move location, may be permanently squashed between the wafer and the exposure tool chuck, or may transfer to another wafer. Experiments showed that micro defects (smaller than 1µm) exhibited little correlation with frontside hot spots. Furthermore, backside detection of micro defects became impractical due excessive nuisance defects caused by common backside process variation. Controlled experiments lead to a better understanding of the characteristics of defects that will cause hot spots mainly defects larger than 5µm. As a result, the customer was able to create a backside inspection point prior to exposure so that problem wafers are detected and rerouted prior to lithography steps. (See Figure 4.) Inspection Module Integrated Frontside & Macro Inspection and Image Capture System Stepper Figure 4. Diagram showing ideal hot spot prevention where backside defects are detected prior to the lithography process. With the integrated frontside and backside macro inspection system implemented in the customer s fab, results are reported in an integrated format that can immediately be accessed including captured color images of both frontside and backside defects. This capability allows the customer to detect their hot spot problems, perform controlled experiments, characterize the killer backside defects and move from an inspection strategy that originally started after the lithography step to a strategy that starts with backside inspection before wafers are exposed. 3. PROCESS DATA (SPECIFIC EXAMPLES) All-surface inspection was implemented in the fab to detect defects located on the front surface, backside, and edges of product wafers at several ADI and ACI steps. inspection has successfully detected large defects which caused photolithography hot spots on several lots. Example #1 Scratches In this example, a process excursion was detected on a lot at ADI. Focus spots were seen at the same position on all wafers in the lot during frontside inspection. The lot was then scanned on a laser-based backside inspection tool. This inspection showed a large, deep scratch in the same area on the backside of all wafers in the lot (See Figure 5). The lot was then re-inspected on the AXi and B20 tools in order to verify the presence of the backside scratches, collect images of these backside defects (See Figure 6), and to correlate the locations of the frontside hot spots to the backside

scratches. Tool preventive maintenance records showed that a handler adjustment had been made to one of the coat tracks prior to processing this lot. After verification of the backside defects, the handler on this tool was re-adjusted, eliminating the root cause. Figure 5. The wafer map on the from the AXi and B20 (left) shows a stacked wafer map of the lot, with two large scratches on the right hand side of all wafers. On the right is a stacked backside wafer map of the same lot from a laser-based inspection tool. Figure 6. Darkfield images of the same backside scratch on a wafer from this lot as captured on the B20 Inspection System. Example #2 Large Particles During all-surface inspection of a product lot at an ADI step, focus spots were detected as subtle color variations in the pattern on the frontside of a wafer. At the same time, backside inspection confirmed the existence of foreign material on the backside of the wafer at these locations. As seen in the images below, backside particles sized between 50µm 150µm caused localized areas of poor focus. The detection of these defects at ADI allowed the lot to be flagged prior to etch.

Figure 7. Wafer map shows the location of a focus spot (circled) that was detected at ADI.

Figure 8. Focus spots were detected as subtle color changes (circled) during frontside inspection; brightfield images from backside inspection show particles sized between 50µm 150 µm at these locations. 4. CONCLUSION One of the goals of implementing all-surface macro inspection at this site was to achieve a more comprehensive After Develop Inspection (ADI) while producing more timely feedback as to possible root causes and corrective actions. Based on events observed in the fab, the conclusion is that combined frontside and backside ADI can reduce the number of steps and the amount of time required to identify, analyze and determine root causes. While other inspection and process control solutions exist which offer similar capabilities, an integrated solution offers more immediate feedback for the purpose of root cause analysis. Further controlled experiments showed that the killer defects exhibit certain characteristics, allowing backside macro inspection to replace the micro inspection tool set, and eventually allowing the inspection point to move before the litho step. While the scope of this experiment has been limited to a single layer, there is reason to expect that the same results can be achieved if expanded to include additional process levels. ACKNOWLEDGEMENTS Thanks to Debbie Speed, Tom Bentz, Charlie Johnson, and Woo Young Han of August Technology, for their in help setting up front and backside inspection recipes, and to Claus Nielsen and Amy Pauling of August Technology for their help in the preparation of this paper. REFERENCES L. Cheema et al., Yield Enhancement from wafer backside inspection, Solid State Technology 46, September 2003, pp 57-60. C. Saravanan et al., Investigating the impact of backside defect inspection on process development and yields, Micro Magazine, April 2004