450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Similar documents
450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Market and technology trends in advanced packaging

HOW TO CONTINUE COST SCALING. Hans Lebon

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

The Future of Packaging ~ Advanced System Integration

Advanced Packaging Solutions

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Enabling Breakthroughs In Technology

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Chapter 7 Introduction to 3D Integration Technology using TSV

Large Area Interposer Lithography

3D ICs: Recent Advances in the Industry

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Fabricating 2.5D, 3D, 5.5D Devices

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

MAPPER: High throughput Maskless Lithography

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications

Intel Technology Journal

Lithography in our Connected World

EUV Supporting Moore s Law

Integration of 3D detector systems

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

40nm Node CMOS Platform UX8

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

The future of lithography and its impact on design

The Advantages of Integrated MEMS to Enable the Internet of Moving Things

Thermal Management in the 3D-SiP World of the Future

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

SWTW 2000, June Assessing Pad Damage and Bond Integrity for Fine Pitch Probing

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

FinFET vs. FD-SOI Key Advantages & Disadvantages

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

New Wave SiP solution for Power

Limitations and Challenges to Meet Moore's Law

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Silicon Interposers enable high performance capacitors

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Integrated Photonics using the POET Optical InterposerTM Platform

IMPACT OF 450MM ON CMP

New methodology for through silicon via array macroinspection

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1

Metrology in the context of holistic Lithography

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

420 Intro to VLSI Design

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

The Development of the Semiconductor CVD and ALD Requirement

Characterization of a Thick Copper Pillar Bump Process

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Design Rules for Silicon Photonics Prototyping

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Sematech 3D Interconnect Metrology. 3D Magnetic Field Imaging Applied to a 2-Die Through-Silicon-Via Device

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Application-Based Opportunities for Reused Fab Lines

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Brief Introduction of Sigurd IC package Assembly

Lecture 5. Optical Lithography

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Process Optimization

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

What s So Hard About Lithography?

Lithography Session. EUV Lithography optics current status and outlook. F. Roozeboom Professor TU Eindhoven & TNO-Holst Centre, Eindhoven, Netherlands

Front to Back Alignment and Metrology Performance for Advanced Packaging

Fraunhofer IZM - ASSID

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Photolithography I ( Part 1 )

Reducing Proximity Effects in Optical Lithography

Enabling concepts: Packaging Technologies

Transcription:

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013

Agenda Next Generation Technology Cost Impact to Moore s Law Innovation vs. Scaling Emerging 3D Advanced Packaging Applications Technical Challenges for 450mm Advanced Packaging Lithography Summary

Accelerating Costs Cost of developing Next-Gen technologies is accelerating While historic Cost-per-Gate reduction trend has stopped 2,500 Cost of Developing Next-Generation Process Technologies 0.07 Cost per Gate by Process Node 0.0636 2,000 0.06 Cost (M$) 1,500 1,000 500 Cost per Million Gates ($ $) 0.05 0.04 0.03 0.02 0.01 0.0521 0.0362 0.0267 0.0275 0.0278 0 180nm 130nm 90nm 65nm 45nm 32/28nm 20nm 14nm 0.00 90nm 65nm 40nm 28nm 20nm 14nm Source: EE Times Source: IBS

Declining Designs Estimated Number of Manageable Designs in First 12 Months of Process Being Ready for Production (Each Foundry) 80 70 65-75 60 50 40-50 40 30 20-30 20 10-20 10 0 5-8 65nm 40nm 28nm 20nm 14nm Source: IBS

No Cost-effective Lithography Solutions High cost and availability of production EUV Integration of EUV and FinFET technology on 450mm wafers Expected to drive 3D integration to have major impact on extending Moore s law Source: IBM, 2013

Next Generation Technology Node Gains Coming From Innovation 3D and other technology innovations are now driving device improvement significantly more than gate scaling Source: IBM, 2013

Innovation Includes New Advanced Packaging Solutions and Challenges

Emerging 3D Advanced Packaging Applications TSV improves system level performance without further transistor shrink Initial TSV adoption for cell phone camera modules TSV For CMOS Image Sensor High performance logic will adapt TSV solutions for bandwidth performance in the future TSV For Leading Edge Devices Source: Tech Search International

3D TSV Technology Roadmap (IMEC) FIB-cross section of stacked CMOS test chips with 5µm diameter Cu TSV at 10µm pitch 3D integration enables a higher integration density Using 3D chip stacking can extend the number of functions per 3D chip well beyond the near-term capabilities of traditional scaling, helping extend Moore s law Source: IMEC 3D System Integration Fact Sheet

Advanced Packaging Market Technology requirements will continue to drive advanced packaging applications Advanced packaging applications expected to be > 90% for 450mm wafers 40% Percentage of Total IC s Requiring Advanced Packaging Me ethods 30% 20% 10% 0% 2011 2013 2015 2017 2019 2021 Source: Tech Search International, Ultratech Estimates

Technical Challenges for 450mm Advanced Packaging Developing advanced TSV solutions for 3D Through-silicon (Dual Side) alignment for 450mm wafers In-situ metrology for Dual Side alignment Scaling warped wafer handling solutions 450mm wafers will have up to 2mm of warpage at final BEOL lithography levels Scaling edge processing solutions Both wafer edge protection and wafer edge exposure solutions are required and must not significantly impact productivity Maintaining low CoO with thick resists, high exposure dose processes Resist processes in excess of 100um thick requiring exposure doses in excess of 1000mJ/cm 2 will need to be accommodated with high throughput

Ultratech Advanced Packaging Lithography Solutions for 450mm Ultratech s 450mm Advanced Packaging stepper is based on a production proven 300mm modular platform designed for scalability High power illumination systems and advanced 1X projection optics designs provide equivalent 300mm throughput on a per wafer basis Options available to support the unique requirements of through silicon via, extremely warped wafers and thick resist processing Unity Modular Design Enables Low-Risk Scalability to 450mm

Dual Side Alignment for 450mm Advanced Packaging Through silicon via (TSV) and silicon interposer methods provide improved performance and reduced form factor Which in turn drives lithography requirements for advanced packaging to include back-to-front side alignment and smaller features

Through Silicon Via (TSV) Fabrication Device wafer Thinned silicon, bonded to carrier Lithography for through silicon via Challenges for TSV lithography How to precisely align to device features embedded below silicon? How to measure performance?

TSV Alignment and In-Situ Metrology Using Top IR Source camera Alignment system camera Top directed IR illumination allows for flexible placement of targets on the wafer Stepper-Self-Metrology measures XY positions of two features at different Z heights photoresist silicon carrier Back side metrology Z offset photoresist silicon carrier Front side metrology 200 micron thick silicon

Microbumps for 450mm Advanced Packaging Microbumps are used for 3D die-to-die and die-to-wafer stacking and interposer applications Aggressive scaling of microbump sizes and pitch are essential to meet next generation and 450mm interconnect requirements (IMEC) 3D-stacked test die with CuSnµbump connections 3.5µm microbump with 10.0µm pitch

Experimental Microbump Results 3.5µm CD with 10.0µm pitch, resist thickness 13.2µm Process requirements are bottom CD of 3.5 µm ± 10% and sidewall angle > 87 degrees Process Window Microbump Cross Section

Summary Costs of both design and manufacturing are accelerating quickly for next generation nodes New 1 st year designs for leading-edge foundry processes are trending lower There is no cost-effective FEOL lithography solution on the horizon Moore s law by scaling alone is in jeopardy Technology innovations are now driving device performance improvements as opposed to scaling New process innovations, especially 3D, will be required to keep Moore s law and 450mm cost advantages on track