EE5324. VLSI Design II

Similar documents
Practical Information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Practical Information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Low Power Design for Systems on a Chip. Tutorial Outline

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

Session 10: Solid State Physics MOSFET

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Low Transistor Variability The Key to Energy Efficient ICs

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

Lecture #29. Moore s Law

MICROPROCESSOR TECHNOLOGY

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Power Spring /7/05 L11 Power 1

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture Introduction

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

420 Intro to VLSI Design

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

EE 434 ASIC & Digital Systems

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power Design in VLSI

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Lecture 0: Introduction

Lecture 9: Clocking for High Performance Processors

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Static Power and the Importance of Realistic Junction Temperature Analysis

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

FinFET-based Design for Robust Nanoscale SRAM

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Digital Integrated Circuits EECS 312

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15

EMT 251 Introduction to IC Design

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

1 Digital EE141 Integrated Circuits 2nd Introduction

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

Introduction to VLSI ASIC Design and Technology

The future of lithography and its impact on design

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Bridging the Gap between Dreams and Nano-Scale Reality

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

6.012 Microelectronic Devices and Circuits

Low-Power Digital CMOS Design: A Survey

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

Investigation on Performance of high speed CMOS Full adder Circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Effect of Device Scaling for Low Power Environment. Vijay Kumar Sharma

A Novel Technique to Reduce Write Delay of SRAM Architectures

Design of Soft Error Tolerant Memory and Logic Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Power dissipation in CMOS

PROCESS and environment parameter variations in scaled

Pushing Ultra-Low-Power Digital Circuits

Semiconductor Devices

Lecture Wrap up. December 13, 2005

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Lecture 13 CMOS Power Dissipation

Session 3: Solid State Devices. Silicon on Insulator

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

Low Power Design of Successive Approximation Registers

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

EE 330 Fall Sheng-Huang (Alex) Lee and Dan Congreve

Transcription:

EE5324 VLSI Design II Professor Chris H. Kim University of Minnesota Dept. of ECE www.umn.edu/~chriskim/ chriskim@umn.edu Practical Information Class webpage http://www.ece.umn.edu/class/ee5324 Instructor: Chris Kim Office: EE/CSci 4-161, Email: chriskim@umn.edu Ph: (612) 625 2346 Office hrs: M 11am noon, or by appointment TA: Wei Zhang Email: zhang758@umn.edu Ph: (612) 626 0834 Office hrs: W 11am-noon, or by appointment (EE/CSci 4-168) UNITE videos http://www.myu.umn.edu/ 2 1

Action Required Make sure your CAD tools are setup properly Tutorial on class website 3 Course Overview Targeted for students who have already taken EE5323 or an equivalent class Focus this year will be on circuit design, device issues, and implementation of functional units (memories and arithmetic units) Real world challenges and solutions for designing high-performance and low-power circuits 4 2

Prerequisites EE 5323 VLSI Design I or equivalent MOS transistor Static, dynamic logic, pass transistor logic Sequential logic Familiarity with VLSI CAD tools Cadence: LVS, DRC, Extract HSPICE, Cosmoscope Basic knowledge on CMOS device operation 5 Class Materials J. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Circuits: A Design Perspective, Prentice Hall, 2nd edition, 2003. Lecture notes Check the class webpage on a regular basis Other references Y. Taur, T. Ning, Fundamentals of Modern VLSI Devices, Cambridge University Press, 2002. A. Chandrakasan, W. Bowhill, F. Fox, Design of High- Performance Microprocessor Circuits, IEEE Press, 2001. 6 3

Other References Conferences International Solid-State Circuits Conference (ISSCC, slides posted on IEEExplore) Symposium on VLSI Circuits (VLSI) Custom Integrated Circuits Conference (CICC) Off campus access to IEEExplore: www.lib.umn.edu/cgi-bin/ieee.cgi Journal IEEE Journal of Solid-State Circuits (JSSC) IEEE Trans. On VLSI Systems (TVLSI) Intel Technology Journal IBM Journal on R & D 7 Grading Policy Assignments (20%) ~4 homeworks 20 min paper review (2 person team) Midterm exam (25%) In class, open book, open notes, calculators permitted Final exam (30%) Open book, open notes, calculators permitted Term-long project (25%) 2k-bit SRAM design Optimize for area, stability, speed, and power consumption 8 4

Cadence CAD Tools Schematic editor, layout editor, DRC, LVS, EXT HSPICE, cosmoscope Technology files FreePDK 32nm You need to be familiar with the tools in order to execute the term project 9 Term Project Two-person project Email me if you need a partner 2k-bit (128x16b) SRAM design Full custom LVS, DRC clean Design parameters: area, stability, speed, and power consumption (leakage and active) Simulations using predictive 32nm technology model Literature survey, search for SRAM design references Prepare to spend long hours on schematic and layout Interim, final report, final presentation 10 5

Class Policies Students caught engaging in an academically dishonest practice will receive a failing grade for the course. University policy on academic dishonesty will be followed strictly. www.osai.umn.edu/syllabus.html No late homework/project No extra work will be accepted for improving the final grade 13 Course Topics Scaling issues, CMOS (2-3 weeks) Memories: ROM, RAM (2-3 weeks) Arithmetic units: Adder, multiplier (1-2 weeks) High performance design (1-2 weeks) High performance logic family, clocking strategies, interconnects Low power design (2-3 weeks) Low voltage designs, leakage control techniques, circuit/device/technology issues, memory Variation tolerant design (1-2 weeks) PVT compensating techniques Power and clock distribution, interconnect, reliability (1-2 weeks) Bulk and SOI 14 6

Moore s Law Intel founder and chairman Gordon Moore predicted in 1965 that the number of transistors on a chip will double every 18-24 months 16 Transistor Scaling Constant E-field scaling: voltage and dimensions (both horizontal and vertical) are scaled by the same factor k, (~1.4), such that the electrical field remains unchanged. 17 7

Scaling in the Vertical Dimension Transistor V t rolls off as the channel length is reduced Shallow junction depth reduces V t roll-off However, series resistance increases 18 Scaling in the Vertical Dimension Vertical dimension scales less than horizontal Aggravates short channel effect (V t roll-off) 19 8

Constant Field Scaling Scaling assumptions Device parameters Circuit parameters Device and circuit parameters Device dimensions (t ox, L, W, X j ) Doping concentration (N a, N d ) Voltage (V) Electric field (E) Capacitance (C=εA/t) Current (I) Channel resistance (R ch ) Delay (CV/I) Power (VI) Switching energy (CV 2 ) Circuit density (1/A) Power density (P/A) Factor 1/k k 1/k 1 1/k 1/k 1 1/k 1/k 2 1/k 3 k 2 1 20 Constant Voltage Scaling Scaling assumptions Device parameters Circuit parameters Device and circuit parameters Device dimensions (t ox, L, W, X j ) Doping concentration (N a, N d ) Voltage (V) Electric field (E) Capacitance (C=εA/t) Current (I) Channel resistance (R ch ) Delay (CV/I) Power (VI) Switching energy (CV 2 ) Circuit density (1/A) Power density (P/A) Factor 1/k k 1 k 1/k k 1/k 1/k 2 k 1/k k 2 k 3 21 9

Constant Voltage Scaling More aggressive scaling than constant field Limitations Reliability problems due to high field Power density increases too fast Both constant field and constant voltage scaling have been followed in practice Field and power density has gone up as a byproduct of high performance, but till now designers are able to handle the problems 22 ITRS Roadmap 1 B 1 V 3 GHz 100 W Leakage International power Technology and process-voltage-temperature Roadmap for Semiconductors variation poses 2002 projection enormous (http://public.itrs.net/) threat to Moore s law 23 10

Transistor Scaling 65nm in production, 45nm near production, 32nm in research phase New technology generation introduced every 2-3 years 24 Cost per Transistor You can buy 10M transistors for a buck They even throw in the interconnect and package for free 25 11

Transistors Shipped Per Year Today, there are about 100 transistors for every ant - Gordon Moore, ISSCC 04 26 Transistors per Chip 1.7B transistors in Montecito (next generation Itanium) Most of the devices used for on-die cache memory 27 12

Moore s Wrong Prediction 28 Chip Frequency 30% higher frequency every new generation 29 13

Die Size ~15% larger die every new generation This means more than 2X increase in transistors per chip 30 Supply Voltage Scaling Supply voltage is reduced for active power control 2 P active C Vdd f 31 14

4 Decades of Transistor Scaling Single Core Itanium Processor - 2005 Dual Core Xeon Processor - 2006 An 8-Core 64-Thread 64b Power- Efficient SPARC SoC, Sun Microsystems, ISSCC 2007 Concurrency to combat power and thermal issues IBM s CELL processor - 2005 32 Power Density Power density (W/cm 2 ) Year High-end microprocessors: Packaging, cooling Mobile/handheld applications: Short battery life 33 15

Power Trend: High Performance vs. Low Power Applications Power (W) 100 x4 / 3years 10 1 0.1 0.01 80 85 90 95 Year Published at ISSCC [Sakurai] 34 Active and Leakage Power Power (W) Year CL delay Vt V Ileak exp( ) 1 t mkt / q Vdd Transistors are becoming dimmers 35 16

Leakage Power Crawling Up in Itanium 2 Transistor leakage is perhaps the biggest problem 36 Leakage Power versus Temp. 56% 49% Power (Watts) 70 60 50 40 30 20 10 0.18µ, 15mm die, 1.4V Leakage Active 0% 0% 1% 1% 2% 3% 5% 7% 9% Power (Watts) 70 60 50 40 30 20 10 6% 41% 33% 26% 19% 9% 14% 0.1µ, 15mm die, 0.7V Leakage Active - - Temp (C) Temp (C) Leakage power is problematic in active mode for high performance microprocessors 37 17

Thermal Runaway Increased heating Higher leakage Higher power dissipation Increased static current Destructive positive feedback mechanism Leakage increases exponentially with temperature May destroy the test socket thermal sensors required 38 Gate Oxide Thickness Electrical t ox > Physical t ox Due to gate depletion and carrier quantization in the channel 39 18

Gate Tunneling Leakage MOSFET no longer have infinite input resistance Impacts both power and functionality of circuits 40 Process Variation in Microprocessors Fast chips burn too much power Slow chips cannot meet the frequency requirement 41 19

Process Variation in Transistors Normalized I ON 1.4 1.2 1.0 0.8 0.6 0.4 NMOS PMOS 2X 100X 150nm, 110 C 0.01 0.1 1 10 100 Normalized I OFF More than 2X variation in I on, 100X variation I off Within-dies, die-to-die, lot-to-lot 42 Sources of Process Variation Intrinsic parameter variation (static) - Channel length, random dopant fluctuation Environmental variation (dynamic) - Temperature, supply variations 43 20

Sub-wavelength Lithography 44 Line Edge/Width Roughness I off and I dsat impacted by LER and LWR 45 21

Random Dopant Fluctuation V t variation caused by non-uniform channel dopant distribution 46 Resolution Enhancement Techniques (RET) Optical Proximity Correction (OPC) Phase Shift Masking (PSM) 47 22

Supply Voltage Integrity IR noise due to large current consumption Ldi/dt noise due to new power reduction techniques (clock gating, power gating, body biasing) with power down mode 48 Supply Voltage Integrity Degrades circuit performance Supply voltage overshoot causes reliability issues Power wasted by parasitic resistance causes self-heating V dd fluctuation should be less than 10% Courtesy IBM 49 23

Productivity Gap Design complexity surpasses manpower Effective CAD tools, memory dominated chips 50 Lithography Tool Cost What will end Moore s law, economics or physics? 51 24

Interconnect Scaling Global interconnects get longer due to larger die size Wire scaling increases R, L and C Example: local vs. global interconnect delay 52 1997 SIA technology roadmap Interconnect Delay Problem Local interconnect has sped up (shorter wires) Global interconnect has slowed down (RC doesn t scale) 53 25

Interconnect Metal Layers M6 M5 M4 M3 M2 M1 Local wires have high density to accommodate the increasing number of devices Global wires have low RC (tall, wide, thick, scarce wires) 54 Cross Talk Noise As wires are brought closer with scaling, capacitive coupling becomes significant Adjacent wires on same layer have stronger coupling 55 26

Cross Talk Noise Multiple aggressors multiple victims possible Cross talk noise can cause logic faults in dynamic circuits 56 Cross Talk and Delay Capacitive cross talk can affect delay If aggressor(s) switch in opposite direction, effective coupling capacitance is doubled On the other hand, if aggressor(s) switch in the same direction, Cc is eliminated Significant difference in RC delay depending on adjacent switching activity 57 27

Soft Error In Storage Nodes Logic 1 Logic 0 V induced Soft errors are caused by Alpha particles from package materials Cosmic rays from outer space 58 Soft Error In Storage Nodes Error correction code Shielding SOI Radiation-hardened cell 59 28

More Roadblocks Memory stability Long term reliability Mixed signal design issues Mask cost Testing multi-ghz processors Skeptics: Do we need a faster computer? Eventually, it all boils down to economics 60 Summary Digital IC Business is Unique Things Get Better Every Few Years Companies Have to Stay on Moore s Law Curve to Survive Benefits of Transistor Scaling Higher Frequencies of Operation Massive Functional Units, Increasing On-Die Memory Cost/MIPS Going Down Downside of Transistor Scaling Power (Dynamic and Static) Process Variation Design/Manufacturing Cost. 61 29