ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

Similar documents
I hope you have completed Part 2 of the Experiment and is ready for Part 3.

ISSN Vol.05, Issue.07, July-2017, Pages:

FPGA Implementation of VHDL Based Traffic Light Controller System

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Digital Systems Design

UNIVERSITI MALAYSIA PERLIS

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar

Four-Way Traffic Light Controller Designing with VHDL

Real Time Traffic Light Control System Using Image Processing

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Intelligent Traffic Light Controller

Index Terms-Emergency vehicle clearance, Higher density, IR sensor, Micro controller, RFID Technology.

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design and Implementation of ITLC System Using FPGA

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementing Logic with the Embedded Array

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA

DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS

Software Design of Digital Receiver using FPGA

International Journal for Research in Applied Science & Engineering Technology (IJRASET) RAAR Processor: The Digital Image Processor

Analog-to-Digital Conversion

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi.

Advances in Military Technology Vol. 5, No. 2, December Selection of Mode S Messages Using FPGA. P. Grecman * and M. Andrle

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

PE713 FPGA Based System Design

Design and Implemetation of Degarbling Algorithm

ECE 241 Digital Systems. Basic Information

EE19D Digital Electronics. Lecture 1: General Introduction

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive

(VE2: Verilog HDL) Software Development & Education Center

Simple Traffic Light Controller A Digital Systems Design Project

CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER

A Simple Real-Time People Counter with Device Management System Using Digital Logic Design

Chapter 3 Describing Logic Circuits Dr. Xu

Video Enhancement Algorithms on System on Chip

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System

Signal Processing and Display of LFMCW Radar on a Chip

Hardware Implementation of Automatic Control Systems using FPGAs

An Efficient Method for Implementation of Convolution

Electronics & Telecommunications Engineering Department

Adaptive Traffic Light Control System

EE307. Frogger. Project #2. Zach Miller & John Tooker. Lab Work: 11/11/ /23/2008 Report: 11/25/2008

Keywords: Adaptive filtering, LMS algorithm, Noise cancellation, VHDL Design, Signal to noise ratio (SNR), Convergence Speed.

Digital Logic ircuits Circuits Fundamentals I Fundamentals I

Implementation of FPGA based Design for Digital Signal Processing

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates

CLAA, CSLA and PPA based Shift and Add Multiplier for General Purpose Processor

CS302 - Digital Logic Design Glossary By

Gomoku Player Design

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

A Survey on Power Reduction Techniques in FIR Filter

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

Portland State University MICROCONTROLLERS

Synthesis of Blind Adaptive Beamformer using NCMA for Smart Antenna

TOUCH SCREEN BASED SPEED CONTROL OF SINGLE PHASE INDUCTION MOTOR

Yet, many signal processing systems require both digital and analog circuits. To enable

FPGA Implementation of Desensitized Half Band Filters

Types of Control. Programmed Non-programmed. Program Counter Hardwired

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

Abstract of PhD Thesis

Lecture 6: Digital/Analog Techniques

Lecture 1. Tinoosh Mohsenin

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

First Optional Homework Problem Set for Engineering 1630, Fall 2014

In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems.

FPGA-Based Autonomous Obstacle Avoidance Robot.

Stratix Filtering Reference Design

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

Chapter # 1: Introduction

INF8574 GENERAL DESCRIPTION

EE445L Fall 2011 Quiz 2A Page 1 of 6

Chapter 1 Introduction

Digital Logic Circuits

Analog Inputs and Outputs

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

International Journal of Advanced Research in Computer Science and Software Engineering

Verilog Implementation of UART with Status Register Sangeetham Rohini 1

REALIZATION OF FPGA BASED Q-FORMAT ARITHMETIC LOGIC UNIT FOR POWER ELECTRONIC CONVERTER APPLICATIONS

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet

Stratix II Filtering Lab

Digital Systems Design

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

INF3430 Clock and Synchronization

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

Mehmet SÖNMEZ and Ayhan AKBAL* Electrical-Electronic Engineering, Firat University, Elazig, Turkey. Accepted 17 August, 2012

Development of Timer Core Based on 82C54 Using VHDL

Transcription:

Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar Tafawa Balewa University, Department of Mechatronics and Systems Engineering, Faculty of Engineering, Abubakar Tafawa Balewa University, Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar Tafawa Balewa University. Abstract The use of FPGAs (Field Programmable Gate Arrays) and configurable processors is an interesting new phenomenon in embedded systems development. FPGAs offer all of the features needed to implement most complex designs. In this paper, a real time traffic light controller, based on the FPGA was designed, with the Finite State Machine and clock timer at its heart. The design has several benefits over ordinary traffic light systems built with microcontrollers or Programmable logic controllers, such as simple structure, high reliability, and re-configurability for upgrades. The FPGA based intelligent traffic light system is capable of achieving optimal traffic signal settings at signalized intersections such that vehicle delay times, stops, and queue lengths are minimised. A four way junction with intersecting roads named; North, East, South and West, was considered. With the aid of sensors, the FPGA responds appropriately by cutting off roads with no vehicles and allowing traffic to flow along others in order of priority. The coding of the design was done using VHDL, and the design was tested and simulated on the Xilinx Spartan-6 LX16 FPGA, after which a model of the system was constructed. Index Terms FPGA, Intelligent Traffic Light System, State Machine, VHDL, Xilinx ISE. I. INTRODUCTION The traffic on our roads, especially intra-city traffic, due to increasing number of cars grows by the day and unless adequate steps are taken to control the situation, we shall soon have some serious problems that may affect economic growth. The existing methods for traffic management, surveillance and control are not adequately efficient in terms of the performance, cost, and the effort needed for maintenance and support. The use of FPGAs (Field Programmable Gate Arrays) and configurable processors is an interesting new phenomenon in embedded development. FPGAs offer all of the features needed to implement most complex designs. Clock management is facilitated by on-chip PLL (phase-locked loop) or DLL (delay-locked loop) circuitry. Dedicated memory blocks can be configured as basic single-port RAMs, ROMs, FIFOs, or CAMs. Data processing, as embedded in the devices logic fabric, varies widely. The ability to link the FPGA with backplanes, high-speed buses, and memories is afforded by support for various single ended and differential I/O standards. Also found on today s FPGAs are system-building resources such as high speed serial I/Os, arithmetic modules, embedded processors, and large amounts of memory [1]. FPGAs are preferable to conventional microcontroller devices for many reasons. First, programming data is not lost when they are exposed to light. This ensures a longer lifespan of whatever system they control especially if they are placed in an external environment. A single FPGA can control multiple processes concurrently as well as sequentially. This leads to better efficiency, lower cost and reliability of the system. Real time control of Traffic signals can be achieved easily without including external components as in the case of microcontrollers, which leads to higher costs. According to [2]; The system has several benefits over ordinary traffic light controllers such as simple structure, high reliability, low costs, easy installation and maintenance. This statement was made because he was able to implement an FPGA based traffic controller for five intersecting main roads with minimum resource usage. It is interesting to note that the FPGA can have up to 64 logic states (i.e. 64 individual output ports), and his design requires only 25 logic states leaving enough room for other operations. Comparing this with that of the traffic light controller designed by [3], for a T-junction, we can see that the microcontroller resources were stretched thin and in order to control a larger traffic light system, more controllers may be required which will increase system cost and complexity. This paper was aimed at designing and implementing a FPGA based traffic light system, capable of detecting the presence of vehicles at each oncoming lane and then reacting appropriately to improve traffic flow by reducing wait time. A 4-way road junction was considered with infrared sensors placed at each oncoming lane, as shown in Fig 1. If a vehicle is detected on one lane, the traffic signal for that lane turns green, while the others turn red; hence only one lane s traffic is allowed to flow during each traffic phase. When no vehicles are detected, only the red traffic lights come on. Otherwise, the North traffic will be allowed to move, followed by the traffic in the East, South and then the West direction. If some roads do not have vehicles present at the intersection then their corresponding traffic lights will be cut off from the traffic cycle. Fig 2 shows a flow chart describing the Traffic algorithm to aid in better understanding the system. When all 4 roads at the intersection are empty, the red traffic signal is turned on for all lanes. 10

I. DESIGN S 7 S 8 SOUTH WEST Red Red Yellow Red Red Red Red Yellow Whenever a vehicle is detected on a road, the green traffic signal for that road comes on. In this design, the North has the highest priority followed by the East, South then West. The timing for each traffic phase can easily be adjusted when necessary, to suit traffic needs on each lane. The traffic light controller for the specifications given will require two major components: a state machine to keep track of the current state of the traffic and the next state that the traffic should enter into; and a counter which will control when the transitions from one traffic state to another occurs. These will be designed as two separate entities and then combined into one entity after tested. The final design is shown in fig 3. The sensors provide digital inputs to the Finite State Machine (FSM) of the FPGA. The FSM then responds appropriately with a delay time given by a specific count period which is derived with the aid of a clock divider that simply reduces the overall frequency of the system. The buffer stores the FSM outputs until the required delay time passes. A. State Machine Design From the specifications above, a state machine consisting of 9 states was designed. Details of each state are shown in Table I. State Table I: States of Intelligent Traffic Light System State Description Fig 1: Model of a 4 Way Junction NORTH EAST SOUTH WEST Fig 2: Intelligent Traffic Light Controller Flow Chart S 0 S 1 All red signals on NORTH green Red Red Red Red Green Red Red Red S 2 EAST green Red Green Red Red S 3 S 4 S 5 S 6 SOUTH green WEST green NORTH EAST Red Red Green Red Red Red Red Green Yellow Red Red Red Red Yellow Red Red Fig 3: System Block Diagram Two timing parameters were considered; timeg: refers to length of time green traffic signal will stay on. timey: refers to length of time traffic signal 11

stays on. These two parameters can easily be varied in order to suit traffic needs. In fact, more timing parameters can be included easily, but for demonstrative purposes only these two were used, with timeg set at 20 seconds and timey set at 2 seconds. The sensor inputs to the FPGA from each road were represented as 4 bit binary vectors S= dddd, where d refers to don t care. The MSB represents the sensor output from the North road (N_S); the next bit represents the sensor at the East (E_S), then the sensor at the South (S_S), with the LSB representing the sensor at the West (W_S). From fig 4, it can be observed that whenever all roads are empty, the system signals red lights in all 4 directions given by state S 0. Whenever cars arrive at the intersection, the system begins the traffic cycle starting with roads at higher priorities. The North road was designed to have the highest priority, followed by the East, South, and then West. Assuming 3 cars, from North, East and West arrive simultaneously at the junction. N_S, E_S and W_S will send signals to the FPGA. Since N_S has the highest priority, the system will change from S 0 to S 1, where the traffic light is green for the North road. It will stay in this state for a given timeg after which it would change to S 5, with the signal displayed. It remains in S 5 for timey before changing to state S 2, to begin the traffic phase of the East road, passing through states S 2 and S 6 before changing to state S 4 for the West road. Fig 4: System State B. Machine Sensor Design A simple infrared sensor was used to give logic 1 when a vehicle is present and logic 0 when the road is empty. If the IR LED emissions become incident on the phototransistor, the phototransistor s resistance comes down to a finite value. This reduces the voltage drop across the phototransistor, taken as input into the comparator, which is compared with the threshold given by the voltage drop across the variable resistor R V1. Fig 5: Sensor Circuit The point to be noted here is that the more the incident radiation on the phototransistor, the lower the voltage drop across it, and hence more voltage will be dropped across R V2. If the voltage developed across the phototransistor is greater than the set threshold voltage, the output of the comparator 12

will be high, else it will be low. The 3.3 V DC is supplied by the FPGA. LM 358N DIP Op- amp was used as a comparator in order to give a logic output that can be interpreted by the FPGA. The Op-amp IC was selected because of its low power requirements. The Variable resistors R V1 and R V2 serve to vary the sensitivity of the sensor, in order to reduce the effects of ambient light. Reducing R V2 will lead to an increase in the voltage drop across the phototransistor, i.e. it makes the sensor less sensitive. Reducing the value of R V1 will reduce the threshold voltage, which will also reduce sensitivity to ambient light. R 1 is a limiting resistor for the IR LED. Its value was derived from the expression; V V f R 1 I f Where IR LEDs have a typical forward voltage drop of about 1.28 V and the forward current flow from the FPGA was 20 ma. II. RESULTS The Traffic light system was built using VHDL (Very High Speed Integrated Circuit Hardware Description Language) on the Xilinx ISE 14.1 CAD environment and the Quartus II software. After compiling the code, The Register Transfer Language (RTL) schematics as well as a state diagram were automatically generated. Fig 7: Simulated State Diagram A) Functional Simulations The following are functional simulations of the intelligent traffic light system taken after synthesis with the aid of a vector waveform file (VWF) that was generated using quartus, in order to observe the transitions of the system with varying inputs. To prevent the simulation from taking an unreasonable time to finish, timeg was reduced to 0.2 μs and timey to 0.03 μs. Fig 8: Time Periods of timeg and timey Fig 6: RTL view of intelligent traffic light system The RTL schematic in figure 6 matches the overall system design shown. The clock divider (clkdiv) divides the main system clock to generate a 100 Hz clock which is fed into the state machine (tlc) for use as a counter. Also, the generated state diagram also matches the initial design state diagram shown in fig 4. Fig 9: Simulation Behavioral Model When N_S is high 13

Fig 10: Simulation Behavioral Model When the North Traffic Light Changes From Green to Yellow Sensor Bit Sensor[3] Sensor[2] Sensor[1] Sensor[0] Fig 11: Simulation Behavioral Model when E_S is high Table II: Description of I/O Bits Description North Sensor Input (N_S) East Sensor Input (E_S) South Sensor Input (S_S) West Sensor Input (W_S) Traffic Lights Bit Lights[11] Lights[10] Lights[9] Lights[8] Lights[7] Lights[6] Lights[5] Lights[4] Lights[3] Lights[2] Description North green traffic signal North traffic signal North red traffic signal East green traffic signal East traffic signal East red traffic signal South green traffic signal South traffic signal South red traffic signal West green traffic signal 14

Lights[1] Lights[0] ISSN: 2277-3754 Wet traffic signal West red traffic signal First thing that is obvious from fig 9, is the fact that no transitions occur when the clear (clr) input is high. This may also be referred to as the reset switch. When the clr signal is removed, only the green light for the North road is turned on (as shown by the arrow) due to a high input from N_S. Even though E_S and W_S are both high, the traffic signals at both the east and west roads are red due to the fact that the north has the highest priority as expected from the design. From fig 10, it can be seen that immediately after timeg, the green light goes off and then the light for the north traffic comes on for a period given by timey (shown by arrow 1), after which the red signal comes on(shown by arrow 2). Since sensor [0] or W_S is high at that point, the green signal for the west road comes on (arrow 3). Fig 8 shows that the green and signals for each traffic phase, last for the expected time periods. Since all sides share the same time period, we can show from the waveform that; timey= 1.015 μs 985 ns = 0.03 μs and timeg = 1.225 μs 1.015 μs = 0.2 μs; are equal to the time periods set for the controller during the simulation. Fig 11 shows the green traffic signal coming on for the East road when E_S is asserted (arrow 1). It should be noted that once the green traffic signal for a road is on, all other traffic lights will show the red signal. Arrows 1 and 2 simply show the change from red signal to green signal. The third arrow shows the traffic signal coming on after timeg elapses. III. CONCLUSION In this paper, an FPGA based traffic light system capable of vehicle detection and real time control of traffic was developed. The design was divided into two main parts, with one part in control of the traffic state transitions, and the other in control of the length of time that each state would be asserted. Infrared sensors were used to send information to the FPGA regarding the presence or absence of vehicles in the form of discrete signals. The end result of the design, implementation, and debugging work is a controller implementation that has satisfied all aspects of the protocol described earlier, and has met all specifications necessary for a traffic light system, which is a giant leap in designing more complex systems. This system can be applied to any given set of intersecting roads with just slight adjustments to the VHDL code structure. Its use will aid in decongesting traffic along busy domestic and highway roads. Further research can be carried out on real time density control, to enable the intelligent traffic light system automatically switch to a more suitable traffic phase when it detects emergency vehicles of any kind (fire trucks, ambulances, police cars) on an oncoming lane. Fig 12: Complete System Model REFERENCES [1] K. Srinivas, and P.K. Srikanth. Implementation of FPGA in the design of Embedded Systems; 1. Prioritized Highway Traffic Light Controller 2. Speech Encryption/Decryption. Bachelor Degree Thesis, National Institute of Technology, Rourkela, 2007. [2] M. A. Qureshi, A. Aziz, and S. H. Raza, A Verilog Model of Adaptable Traffic Control System. International Journal of Computer and Electrical Engineering, Vol. 4, No. 3, 2012, pp. 400 403. [3] A. C. Nnamdi, Construction of a Microcontroller Based T-Junction Traffic Light Controller. Bachelor Degree Thesis, Caritas University, Enugu, 2013. [4] A. V. Pedroni, Circuit Design with VHDL. London: MIT Press, 2004. [5] P. P. Chu, FPGA Prototyping by VHDL Examples. Cleveland: A JOHN WILEY & SONS, INC., PUBLICATION, 2008. [6] C. H. Roth, Digital Systems Design Using VHDL. Boston: PWS Publishing Company, 1997. [7] S. Brown, and Z. Vranesic, Fundamentals of Digital Logic with Vhdl Design. 2 nd ED. Toronto: McGraw- Hill, 2005. AUTHOR S PROFILE Agho Osarenomase received his B.Eng. (Hons) in Electrical and Electronics Engineering from Abubakar Tafawa Balewa University, Bauchi; in 2015. His areas of interest are embedded systems, Control Engineering and Logic design. Faisal Sani Bala received his B.Eng (Hons) in Electrical and Electronics Engineering from University of East London, UK in 2012. He s currently a graduate assistant in the department of mechatronics and systems Engineering, ATBU, Bauchi. His areas of interest are Digital signal processing, Computational intelligence, Control Engineering and Embedded systems. Ganiyu Bakare received his B. Eng. (Hons) in Electrical and Electronics Engineering from Ahmadu Bello University, Zaria in 1990 and M. Eng. From Abubakar Tafawa Balewa University (ATBU), Bauchi in 1994. He also received his Ph.D. from the University of Duisburg, Essen. He is 15

currently a professor of power systems in ATBU and the Director of the Department of Information and Communication Technology. 16