Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Similar documents
Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

CMOS Current Starved Voltage Controlled Oscillator Circuit for a Fast Locking PLL

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION

Dr. K.B.Khanchandani Professor, Dept. of E&TC, SSGMCE, Shegaon, India.

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Low Power Phase Locked Loop Design with Minimum Jitter

FRACTIONAL-N FREQUENCY SYNTHESIZER DESIGN FOR RFAPPLICATIONS

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

DESIGN OF A MODULAR FEEDFORWARD PHASE/FREQUENCY DETECTOR FOR HIGH SPEED PLL

Available online at ScienceDirect. Procedia Computer Science 57 (2015 )

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

/$ IEEE

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

REDUCING power consumption and enhancing energy

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

DESIGNING PHASE FREQUENCY DETECTOR USING DIFFERENT DESIGN TECHNOLOGIES

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution

Low Power, Wide Bandwidth Phase Locked Loop Design

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

PHASE-LOCKED loops (PLLs) are widely used in many

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

0.18µm PHASE / FREQUENCY DETECTOR AND CHARGE PUMP DESIGN FOR DIGITAL VIDEO BROADCASTING FOR HANDHELD S PHASE-LOCKED-LOOP SYSTEMS THESIS

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Dedication. To Mum and Dad

ISSN:

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

A LOW POWER PHASE FREQUENCY DETECTOR FOR DELAY-LOCKED LOOP

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

[Prajapati, 3(3): March, 2014] ISSN: Impact Factor: 1.852

Design of a CMOS PFD-CP module for a PLL

Lecture 7: Components of Phase Locked Loop (PLL)

A Frequency Synthesis of All Digital Phase Locked Loop

THE reference spur for a phase-locked loop (PLL) is generated

Layout Design of LC VCO with Current Mirror Using 0.18 µm Technology

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop

IN RECENT years, the phase-locked loop (PLL) has been a

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

THE serial advanced technology attachment (SATA) is becoming

Design of a Frequency Synthesizer for WiMAX Applications

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

Design of High Performance PLL using Process,Temperature Compensated VCO

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

ECEN620: Network Theory Broadband Circuit Design Fall 2012

DESIGN AND ANALYSIS OF EFFICIENT PHASE LOCKED LOOP FOR FAST PHASE AND FREQUENCY ACQUISITION

Research on Self-biased PLL Technique for High Speed SERDES Chips

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

Noise Analysis of Phase Locked Loops

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

Wide Tuning Range I/Q DCO VCO and a High Resolution PFD Implementation in CMOS 90 Nm Technology

Optimization of Digitally Controlled Oscillator with Low Power

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00

A 1.2-to-1.4 GHz low-jitter frequency synthesizer for GPS application

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

A LOW JITTER LOW PHASE NOISE WIDEBAND DIGITAL PHASE LOCKED LOOP IN NANOMETER CMOS TECHNOLOGY

American International Journal of Research in Science, Technology, Engineering & Mathematics

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR Gates

Analysis and Design of a Low-Power Low-Noise CMOS Phase-Locked Loop

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

Design of CMOS Phase Locked Loop

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet

A Wide Range PLL Using Self-Healing Prescaler/VCO in CMOS

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Fast Digital Calibration of Static Phase Offset in Charge-Pump Phase-Locked Loops

Design of ring VCO based PLL using 0.25 µm CMOS technology

Integrated Circuit Design for High-Speed Frequency Synthesis

Design of a programmable CMOS Charge-Pump for phaselocked loop synthesizers

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

ECEN620: Network Theory Broadband Circuit Design Fall 2014

A single-slope 80MS/s ADC using two-step time-to-digital conversion

SiNANO-NEREID Workshop:

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

A Low Power VLSI Design of an All Digital Phase Locked Loop

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

A Comparative review and analysis of different phase frequency detectors for Phase Locked Loops

Transcription:

Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a High Frequency PLL Design Abdul Majeed K K a* and Binsu J Kailath a a Indian Institute of Information Technology Design and Manufacturing (IIITD&M) Kancheepuram, Chennai, India-600127 Abstract A simple new phase frequency detector (PFD) is presented in this paper. This PFD use only 10 transistors, whereas a conventional PFD uses 54 transistors. It has been observed that the proposed PFD could operate up to frequencies about 4.6 times higher than that by conventional PFD. It has also been observed that the power dissipation is reduced by 99 %. In addition to these, area of the circuit has been reduced up to 73% when compared with conventional PFD. The phase noise of designed PFD has been reduced to - 133.4 dbc/hz. Prototype has been designed in Cadence virtuoso environment and implemented using GPDK090 library of 180 nm technology with a supply voltage of 1.8 V. The reset process has been completely removed in this design thereby eliminating the blind zone and speeding up the acquisition process. The design has been proposed for high speed, low power and low jitter applications. 2013 The Authors. Published by Elsevier Ltd. Open access under CC BY-NC-ND license. Selection and peer-review under responsibility of the organizing and review committee of of IConDM 2013. Keywords: Phase Locked Loop, Phase Frequency Detector, Dead Zone. Nomenclature PFD PLL CP VCO AM Phase Frequency Detector Phase Locked Loop Charge Pump Voltage Controlled Oscillator Amplitude Modulation * Corresponding author. Tel.: 91-442-747-6334; fax: 91-442-747-6301. E-mail address: edm12d001@iiitdm.ac.in 1877-7058 2013 The Authors. Published by Elsevier Ltd. Open access under CC BY-NC-ND license. Selection and peer-review under responsibility of the organizing and review committee of IConDM 2013 doi: 10.1016/j.proeng.2013.09.110

378 K.K. Abdul Majeed and Binsu J. Kailath / Procedia Engineering 64 ( 2013 ) 377 384 1. Introduction Phase Locked Loop (PLL) is a class of circuit, used primarily in communication systems suitable for a wide variety of applications, such as AM radio receivers, frequency demodulators, multipliers, dividers, and as frequency synthesizers. Recent advances in integrated circuit design techniques have led to the development of high performance PLL which has become more economical and reliable. Now a whole PLL circuit can be integrated as a part of a larger circuit on a single chip. The power consumption in the PFD contributes to a significant part of the total power consumption of a PLL. Therefore overall power consumption in a PLL can be reduced by minimizing the power consumption in PFD. The main challenge in the design of PFD is to obtain very high operating frequency with minimal power dissipation. Different PFD designs have been proposed by many researchers for achieving high operating frequency however power consumption reported have been comparatively high [1-4]. In this paper a novel PFD architecture is proposed which is completely free from dead zone, dissipates very low power and operates at high frequency. 2. PLL Basics Traditional block diagram of a PLL is shown in Fig.1. The main objective of a PLL is to generate a signal in which the phase is the same as the phase of a reference signal. This is achieved after many iterations of comparison of the reference and feedback signals. Fig.1. Basic Block Diagram of PLL The phase frequency detector (PFD) is one of the main parts in PLL circuits. PFD produces an error output signal which is proportional to the phase difference between the phase of the feedback clock and the phase of the reference clock. The Charge Pump (CP) is another important part of PLL. CP converts the phase or frequency difference information of two input signals into a voltage which is used to tune a Voltage Controlled Oscillator (VCO) toward reference input frequency. Thus, the Loop Filter (LF) is necessary to generate necessary control signals into the VCO and is also necessary to store the charge from the CP. The purpose of the VCO is to either speed up or slow down the feedback signal according to the error generated by the PFD, if the PFD generates an up (UP) signal, the VCO speeds up. On the contrary, if a down (DN) signal is generated, the VCO slows down. The output of the VCO is then fed back to the PFD in order to recalculate the phase difference, thereby forming the closed loop frequency control system. 3. Conventional Architecture The traditional PFD architecture is shown in Fig. 2. The main drawbacks of this design include non zero dead zone, higher power consumption while operating at high frequency, lower maximum operating frequency and larger area due to many number of transistors. It consists of two edge triggered resettable D flip-flops with their D inputs tied to logical ONE and one AND gate. Inputs CLK ref and CLK vco act as clock of flip-flops. Assuming initially UP=DN=0, when CLK ref goes high, UP rises. If this event is followed by a rising transition on CLK vco, DN also goes high and the AND gate resets both

K.K. Abdul Majeed and Binsu J. Kailath / Procedia Engineering 64 ( 2013 ) 377 384 379 flip-flops. UP and DN are simultaneously high for a short time but the difference between their average values represents the input phase or frequency difference. Mozhgan Mansuri et al. have illustrated the non ideal behavior with the reference clock CKL ref leading the output clock CLK vco, causing an UP output [1]. As the input phase difference is CLK ref arrives before the D-flip-flops are reset due to the finite reset delay. The reset overrides the new CLK ref edge and does not activate the UP signal. They have also reported that t reset is determined by the delay of logic gates in the reset path and is not a function of input frequency [1]. Hence the new design has been developed based on the fact that operating frequency of the PFD or PLL could be improved if it was possible to eliminate the reset delay. Hence the proposed design concentrates on eliminating reset delay so that the dead zones are completely removed. 4. Proposed PFD Design Fig.2. Implementation of Conventional PFD In order to increase the operating frequency of the PFD, reset path is eliminated by adding pass transistor logic. The proposed PFD (PFD1) uses only 10 transistors which reduces the power consumption and area of the PLL. Fig.3. Schematic of Proposed PFD1

380 K.K. Abdul Majeed and Binsu J. Kailath / Procedia Engineering 64 ( 2013 ) 377 384 Schematic of the designed PFD is shown in Fig 3. P3 through P6 are PMOS transistors while N7 through N12 is NMOS transistors. Principle of operation is explained below. Initially the CLK ref and CLK vco signals are at logic zero. At the rising edge of CLK ref, N7, N8 and N12 turns on and the UP signal goes to logic high. At the rising edge of CLK vco, N9, N10 and N11 turn on forcing DN to go high. When both UP and DN are high, the logic levels at points R and S in Fig. 3 are pulled down to logic zero making UP and DN to go to logic zero without any delay for reset. 5. Simulation Results 5.1. Transient Response of PFD1 Timing diagrams for the proposed PFD is shown in Fig.4. It can be observed from Fig. 4 that when CLK vco is lagging CLK ref by 3ns, the UP signal goes high and whenever both are high immediately both UP and DN signal go down. Thus the designed PFD1 acts as a phase frequency detector like a conventional PFD. Fig.4. Timing diagram of PFD with CLKref leading CLKout by 3ns, so the pulse width of UP signal will be high for 3ns 5.2. Phase Characteristics The average output value of the PFD1 versus the phase difference between the CLK ref and CLK vco signal for PFD1 is presented in Fig.5. It can be observed that dead zones are completely eliminated in this design as it does not depend on the reset signal but only on the arrival of CLK ref and CLK vco signals. Now considering the linearity property, it can be observed from Fig.5 that the proposed PFD1 is almost linear throughout the period. It may also be noted that the proposed design has higher range of linearity when compared with the PFD reported in literature [2].

K.K. Abdul Majeed and Binsu J. Kailath / Procedia Engineering 64 ( 2013 ) 377 384 381 Fig.5. Phase Characteristics of PFD1 5.3. Phase Noise Analysis Random variation in the phase of the signal is termed as phase noise. It is the frequency domain representation of rapid, short term fluctuations in the phase of the wave, caused by time domain instabilities known as jitter. A phase noise simulation of proposed PFD1 is shown in Fig.6 with both CLK ref and CLK out kept as 100 MHz and both are in same phase as well. Noise is contributed to the PFDs from different sources such as dead zone, transistors, and reset time delay. It can be observed that proposed PFD1 has lower values of phase noise of -133.4 dbc/hz at 1 MHz offset. The phase noise of conventional PFD is -122 dbc/hz [2]. Hence it can be observed that the proposed design is suitable for low jitter applications. 5.4. Maximum Operating Frequency Maximum operating frequency [2] as a function of supply voltage has been presented in Fig. 7 for conventional PFD and proposed PFD1. It can be observed from fig.7 that the maximum operation frequency of the traditional PFD is 800 MHz, while that for PFD1 is 3.72 GHz at a supply voltage of 1.8 V. 5.5. Area Requirement The proposed PFD1 is implemented using GPDK090 library of 180nm technology. The chip layout of PFD1 is presented in Fig.8. Final placement area of PFD1 consists of 15.0356 μm width by 8.13 μm length (Area= 122 micron 2 ).

382 K.K. Abdul Majeed and Binsu J. Kailath / Procedia Engineering 64 ( 2013 ) 377 384 Fig.6.Phase Noise Analysis of PFD1 4.5 Maximum Operating Frequency (GHz) 4 3.5 3 2.5 2 1.5 1 0.5 Conventional PFD PFD1 0 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 Supply Voltage (V) Fig.7. Maximum Operating Frequency as a function of supply voltage for conventional PFD and proposed PFD1.

K.K. Abdul Majeed and Binsu J. Kailath / Procedia Engineering 64 ( 2013 ) 377 384 383 5.6. PLL Analysis The effectiveness of the proposed design has been verified by building a PLL with proposed PFD1. Charge Pump, Loop Filter, CMOS Voltage Controlled Ring Oscillator and divide by 8 counter have been implemented in Cadence virtuoso environment using GPDK090 library of 180 nm technology with a supply voltage of 1.8V. Measured frequency acquisition characteristics of the PLL using PFD1 is presented in Fig. 9. To analyze the frequency acquisition characteristics, a reference clock of 100 MHz is supplied. In this figure it can be observed that that the acquisition range of PLL using PFD1 is 4.75 μs which is better than the PLL in reported literature [6] Fig.8. Layout of PFD1 Fig.9. Response of PLL acquisition time using PFD1

384 K.K. Abdul Majeed and Binsu J. Kailath / Procedia Engineering 64 ( 2013 ) 377 384 6. Discussion The performance comparison of different PFD architectures with different design parameters is presented in table I. The PFD1 is completely free from Dead Zone and the Reset time of the PFD1 is Zero. An example of a column heading Conventional PFD Table 1. Simulated PFD Output Comparison. PFD1 [3] 2010 [2] MPt-PFD [7] [8] Power consumption in μw 1650 10.34 496 10-8 No. of Transistor 54 10 36 16 19 4 Maximum Operating 1.2 5 0.8 3.72 2.94 1.5 Frequency in GHz Reset Time in ns 0.152 0 0.156 0 0 0 Dead zone in ps 70 free 61 free 20 Phase noise dbc/hz@1m - - -122-133.4 -- -131.5 Hz Area in μm 2 452 122 -- -- - - PFD1 uses only 10, whereas a conventional PFD uses 54 transistors. Maximum operating frequency of PFD1 is 3.72 GHz which is higher than other reported PFDs [2, 3]. It can also be seen that power dissipation is reduced by 99 % and area is saved up to 73 % in PFD1 when compared with conventional PFD. Phase noise is also reduced significantly to -133.4 dbc/hz@ 1 MHz when compared with conventional PFD. It is also observed that acquisition range of PLL using proposed PFD1 is 4.75 μs which is better than that (22.5 μs) of the PLL reported in [6]. 7. Conclusion A simple and novel PFD designed with 10 transistors has been proposed in this paper. The significant advantage of this design is that the dead zone is completely eliminated by removing the reset path. It has been observed that these PFD1 could operate up to frequencies 4.6 times higher than that of conventional PFD. The acquisition time of a PLL implemented with this PFD1 is very less (4.6 μs) when compared with other PLL reported in literature. Hence Pit is proposed that PFD1 is suitable for low jitter, zero dead zone, high speed and low power applications. References [1] Mansuri, M., Liu, D., Yang and C.-K.K., "Fast frequency acquisition phase-frequency detectors for Gsamples/s phase-locked loops," Solid-State Circuits, IEEE Journal of, vol.37, no.10, pp.1331,1334, Oct 2002. [2] Ismail, N.M.H. and Othman, M., "CMOS phase frequency detector for high speed applications," Microelectronics (ICM), 2009 International Conference on, vol., no., pp.201,204, 19-22 Dec. 2009. [3] Wu-Hsin Chen, Inerowicz, M.E. and Byunghoo Jung, "Phase Frequency Detector With Minimal Blind Zone for Fast Frequency Acquisition," Circuits and Systems II: Express Briefs, IEEE Transactions on, vol.57, no.12, pp.936,940, Dec. 2010. [4] Fan Xiangning, Li Bin, Yuan Likai and Wang Yujie, "CMOS Phase Frequency Detector and Charge Pump for Wireless Sensor Networks," Microwave Workshop Series on Millimeter Wave Wireless Technology and Applications (IMWS), 2012 IEEE MTT-S International, vol., no., pp.1,4, 18-20 Sept. 2012. [5] Hwang-Cherng Chow, Yeh and N.-L., "A new phase-locked loop with high speed phase frequency detector," Circuits and Systems, 2005. 48th Midwest Symposium on, vol., no., pp.1342,1345 Vol. 2, 7-10 Aug. 2005. [6] Chao-Ching Hung, I-Fong Chen and Shen-Iuan Liu, "A 1.25GHz fast-locked all-digital phase-locked loop with supply noise suppression," VLSI Design Automation and Test (VLSI-DAT), 2010 International Symposium on, vol., no., pp.237,240, 26-29 April 2010. [7] Won-Hyo Lee; Jun-dong Cho; Sung-Dae Lee, "A high speed and low power phase-frequency detector and charge-pump," Design Automation Conference, 1999. Proceedings of the ASP-DAC '99. Asia and South Pacific, vol., no., pp.269,272 vol.1, 18-21 Jan 1999. [8] S. B. Rashmi, Siva S. Yellampalli hase Frequency Detector and Charge Pump for High Frequency PLL Journal of Soft Computing and Engineering (IJSCE)ISSN: 2231-2307, Volume-2, Issue-2, May 2012. [9] Lee, G. B.; Chan, P.K.; Siek, L., "A CMOS phase frequency detector for charge pump phase-locked loop," Circuits and Systems, 1999. 42nd Midwest Symposium on, vol.2, no., pp.601,604 vol. 2, 1999.