450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Similar documents
450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Advanced Packaging Solutions

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Process Optimization

2009 International Workshop on EUV Lithography

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Update on 193nm immersion exposure tool

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Fabricating 2.5D, 3D, 5.5D Devices

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Photolithography Technology and Application

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Feature-level Compensation & Control

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Mask Technology Development in Extreme-Ultraviolet Lithography

Innovative Mask Aligner Lithography for MEMS and Packaging

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Market and technology trends in advanced packaging

(Complementary E-Beam Lithography)

Metrology in the context of holistic Lithography

Silicon Interposers enable high performance capacitors

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

Application-Based Opportunities for Reused Fab Lines

Photolithography I ( Part 1 )

Part 5-1: Lithography

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Semiconductor Back-Grinding

Nikon F2 Exposure Tool

PICO MASTER. UV direct laser writer for maskless lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

CD-SEM for 65-nm Process Node

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION

Competitive in Mainstream Products

Fraunhofer IZM - ASSID

Data Sheet _ R&D. Rev Date: 8/17

16nm with 193nm Immersion Lithography and Double Exposure

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

SUSS MA200 Gen3 ENHANCED 1x FULL-FIELD LITHOGRAPHY FROM PILOT TO HIGH-VOLUME PRODUCTION

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

New Approaches to Develop a Scalable 3D IC Assembly Method

Development of Nanoimprint Mold Using JBX-9300FS

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

MICRO AND NANOPROCESSING TECHNOLOGIES

Scope and Limit of Lithography to the End of Moore s Law

Major Fabrication Steps in MOS Process Flow

Design Rules for Silicon Photonics Prototyping

Photolithography II ( Part 2 )

Optical Requirements

What s So Hard About Lithography?

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

New methodology for through silicon via array macroinspection

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Intel Xeon E3-1230V2 22 nm Tri-Gate Microprocessor

Chapter 7 Introduction to 3D Integration Technology using TSV

Progresses in NIL Template Fabrication Naoya Hayashi

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

MANUAL HIGH PRECISION MASK & BOND ALIGNER

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Jan Bogaerts imec

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

Packaging Fault Isolation Using Lock-in Thermography

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

PICO MASTER 200. UV direct laser writer for maskless lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Lithography Industry Collaborations

EE-527: MicroFabrication

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

MAPPER: High throughput Maskless Lithography

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

3D ICs: Recent Advances in the Industry

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Production of HPDs for the LHCb RICH Detectors

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Transcription:

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc.

Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018 2019 2020 2021 Flash 18 17 15 14 13 12 11 10 9 MPU 27 24 21 19 17 15 13 12 11 Advanced packaging 2.5D Si-interposer Wide-I/O 2 3D High-end MPU Heterogeneous Chip Stacking 450 mm Production Pilot HVM 450 mm HVM production ramps in 2018 Advanced packaging processes required at the same time 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 2/23 2

Canon 450 mm backend exposure tool Canon Advanced Packaging Solutions 300mm functions and performance Vertical thick resist patterning 3D alignment capability Warped wafer Lithography issues for 450mm 450mm wafer exposure results Summary 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 3/233

Vertical Lithography process portfolio 80µm Vertical Lithography Applicable to processes ranging from Bump to TSV FPA-5510iV Bump Photo-Resist Thickness (DOF) 50µm Micro Bump 10µm TSV FPA-5510iZ RDL 1µm FEOL 0.35µm 1µm 2µm Resolution 10µm 100µm 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 4/23 4

3D & 2.5D Lithography Requirements Micro-Bump (20~40 μm) Profile stability Overlay Accuracy Thinned Semiconductor Chip Processor Memory Memory TSV (1-4 μm) High Resolution Profile Stability Overlay Accuracy Silicon Interposer TSV (2.0~5.0 μm) Thick Resist Focus Margin Management Overlay Accuracy Warped Wafer Printed substrate Interposer RDL (0.40~1.0 μm) High Resolution Profile Stability Overlay Accuracy Bump (60~100 μm) Profile Stability Photo-lithography is required for TSV, RDL, Micro-Bump processes to form resist mask for deep etching or plating 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 5/23 5

Solutions for Vertical Litho challenges Thick-Resist Patterning Large DOF imaging Thick resist patterning with good profile 3D Alignment Capability Through Silicon Alignment Scope(TSA-Scope) Bonded wafer distortion Excellent overlay performance Warped Wafer Handling Good flatness achieved by new wafer chucking Wide Focus margin achieved 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 6/23 6

300 mm Performance 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 7/23 7

Depth of Focus for 1 μm pattern FPA-5510iV achieves large common DOF Reduction optics New chuck system Die-by-die tilt & focus 50 40 FPA-5510iV Target: 1.0 μm L/S Image Field: 52 34 Measurement points: 9points / Field CD (%) 30 20 10 0 10 12.2 μm Measurement Points Exposure Image Field 34 mm 20 15 10 5 0 5 10 15 52 mm Focus (μm) 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 8/23 8

High-Density hole pattern profile for TSV Cross Sectional SEM Image Focus FPA-5510iV (NA0.18), 1.5µm Hole Resist: P-W1000T-PM Tokyo Ohka Kogyo(tok), t 5.5 µm -6µm -4µm -2µm 0µm 2µm 4µm 6µm FPA-5510iZ (NA0.57), 2.5µm Hole Optimum NA selection is mandatory for large DoF with vertical profile 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 9/23 9

Through-Silicon Alignment [TSA] Through-Si Alignment Scope TSA-Scope with IR Both front and back-side alignment possible Suitable for back via processes Transmittance of Si wafer (%) Front surface detection with visible-light Through Si detection with IR-light Si-wafer FEOL Observed Alignment mark Through Si Wavelength (nm) 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 10/23

Overlay accuracy of Through-Si Alignment Overlay accuracy with FEOL machine Si Wafer thickness: 775 µm Backside 1 st patterning: FPA-5510iZ Frontside 2 nd patterning: FPA-5510iV 112nm 95nm TSA-Scope overlay accuracy 120 nm is achieved TSA Accuracy is suitable for TSV processes 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 11/23

Bonded Wafer Distortion 300nm Wafer Bonding and Thinning cause wafer distortion 300 mm Bonded wafer distortion measurement using TSA-Scope 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 12/23

Advanced Distortion Compensation (EAGA ) Ideal Grid (no distortion) Distorted Grid (Actual shot layout, rotation and magnification are not uniform) Overlay w/ Linear Compensation (residual error remains) Overlay w/ EAGA Compensation (per-shot compensation) EAGA compensates for per-shot Shift, Shot Magnification & Rotation (X, Y independent) Bonded Wafer distortion will become more challenging for 450mm wafers 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 13/23

Overlay improvement for distorted wafer Linear Compensation Simulation Shot by Shot Compensation Simulation (Rotation and magnification) M +3σ X: 278 nm Y: 213 nm M +3σ X: 106 nm Y: 107 nm Shot by shot compensation can improve the overlay accuracy FPA-5510iV can cope with 1.0 µm generation high-density TSV processes in the future 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 14/23

Wafer Warpage Correction Result Wafer warpage is common in backend processes Wafer flatness data of 730µm warped wafer can be reduced to < 5 µm after chucking Tool: FPA-5510iV Wafer warpage: 730 µm Vacuum Region: 300 mm Wafer Flatness after Chucking Global Flatness: 4.3μm SFQR: 1.6μm (Site size: 52 mm 34 mm) Canon s wafer chucking system vacuum locks the wafer across the entire wafer, improving wafer flatness at the edges Yield of the peripheral region is not deteriorated Warpage correction will become more challenging for 450mm wafers 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 15/23

450mm Issues 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 16/23

Study of bonded wafer distortion compensation 300mm wafer distortion 450 mm Bonded wafer distortion (estimate) (3σ) X = 1655 nm, Y = 1647 nm 450mm wafer distortion (estimate) 450 mm is distortion is not acceptable for TSV processes 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 17/23

EAGA correction for 450mm Bonded wafer Original AGA EAGA Chip shape 3σ [nm] X = 1655, Y = 1647 X = 1215, Y = 1173 X = 380, Y = 394 450mm Bonded wafer distortion: Reduced to 400 nm by EAGA 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 18/23

EAGA correction for 450mm Bonded wafer 3σX (nm) 3σY (nm) 1400.0 AGA 52x34 1400.0 AGA 52x34 1200.0 AGA 26x33 1200.0 AGA 26x33 Overlay accuracy(nm) 1000.0 800.0 600.0 400.0 EAGA 52x34 EAGA 26x33 Overlay accuracy(nm) 1000.0 800.0 600.0 400.0 EAGA 52x34 EAGA 26x33 200.0 200.0 0.0 0.0 500.0 1000.0 1500.0 2000.0 0.0 0.0 500.0 1000.0 1500.0 2000.0 Bonding Wafer Distortion(nm) Bonding Wafer Distortion(nm) 450mm Bonded wafer distortion: Reduced to 400 nm by EAGA To achieve excellent mix and match overlay, bonded wafer distortion must be reduced (upstream process) or compensated during litho process 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 19/23

Actual backend exposure of 450mm wafer Canon starts 450mm exposure collaborative study with. Coating & Develop: Exposure: 2.5µm Line & Space 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 20/23

Summary Advanced packaging litho-solution is ready. Large DOF imaging for 3D application Sufficient DOF with good vertical profile for 1.5 µm TSV 2 µm line patterning with high aspect ratio for HD-RDL Through-Silicon Alignment Scope for back via process Warped Wafer handling 450mm backend litho issues still to be addressed. Warped wafer handling, bonded wafer distortion, throughput Canon will continue to contribute towards successful 450mm transformation 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 21/23

THANK YOU FOR YOUR ATTENTION sshelton@cusa.canon.com 20 th SOKUDO Breakfast Forum, July 10,2013 Slide Slide 22/23