GIGAPHOTON INTRODUCTION

Similar documents
Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

EUV lithography: today and tomorrow

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

S26 Basic research on 6.x nm EUV generation by laser produced plasma

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Part 5-1: Lithography

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Nikon Medium Term Management Plan

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

National Projects on Semiconductor in NEDO

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

EUV lithography: status, future requirements and challenges

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

EUV Supporting Moore s Law

Enabling Semiconductor Innovation and Growth

Newer process technology (since 1999) includes :

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

EUVL getting ready for volume introduction

Mask Technology Development in Extreme-Ultraviolet Lithography

Competitive in Mainstream Products

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES

Scaling of Semiconductor Integrated Circuits and EUV Lithography

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EUV Light Source The Path to HVM Scalability in Practice

Laser Produced Plasma Light Source for HVM-EUVL

EUV Lithography Transition from Research to Commercialization

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

CLSA Investors Forum 2017

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

Leadership Through Innovation Litho for the future

21 st Annual Needham Growth Conference

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Update on 193nm immersion exposure tool

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Optical Microlithography XXVIII

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Photolithography Technology and Application

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

The Development of the Semiconductor CVD and ALD Requirement

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Photolithography 光刻 Part I: Optics

MAPPER: High throughput Maskless Lithography

Status and challenges of EUV Lithography

MICROCHIP MANUFACTURING by S. Wolf

Optics for EUV Lithography

Nikon EUVL Development Progress Update

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

The SEMATECH Model: Potential Applications to PV

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Lithography Industry Collaborations

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

EUV Interference Lithography in NewSUBARU

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to

Recent Development Activities on EUVL at ASET

Accelerating Growth and Cost Reduction in the PV Industry

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source

LPP EUV Source Development and HVM I Productization

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Light Sources for High Volume Metrology and Inspection Applications

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Photolithography I ( Part 1 )

Fiber Coupled Semiconductor Laser

[Overview of the Consolidated Financial Results]

Facing Moore s Law with Model-Driven R&D

Product Presentation. BraggStar TM Industrial-LN (line narrowed) Breakthrough in Interferometric (IF) Fiber Bragg Grating (FBG) Writing Process

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Introduction of Nikon s Large Silica Glass Plate

NIST EUVL Metrology Programs

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing

Multilayer Collector Optics for Water Window Microscopy

GaN-based Schottky diodes for EUV/VUV/UV photodetection

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Energy beam processing and the drive for ultra precision manufacturing

ISMI Industry Productivity Driver

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

Transcription:

<KOMATSU IR-DAY 2017> GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc.

Outline of Gigaphoton Business Light source business for semiconductor exposure Light source business for Flat Panel Display(FPD) annealing System sales destination ASML, Nikon, Canon System sales destination V-Technology Part sales destination Semiconductor manufacturers, such as Intel, Toshiba, Samsung, TSMC Part sales destination Illuminator Liquid crystal panel manufacturers, such as SDP, BOE Loader Panel Light source Scan stage Source: http://www. asml.com Source: V-Technology brochure

Light Source for Semiconductor Exposure

Cutting-Edge Product Structure and Performance dimensi ons Weight Specific ations Width Depth Height Wavelength Average Output Pulse Energy Repetition Frequency Spectrum Width (E95) 2800mm 845mm 2120mm 3410kg 193nm 60-120W 10-20mJ 6000Hz 0.25pm

Technology Transition Excimer Exposure 10 1000nm Feature size (nm) 1 0.1 G-line (436nm) 750nm I-line (365nm) 500nm 350nm 250nm KrF (248nm) 180nm 130nm ArF (Dry) (193nm) ArF (immersion) (equiv. 134nm) 90nm 65nm 45nm 0.01 1980 1985 1990 1995 2000 2005 2010 Source: ASML, Canon, Nikon

Semiconductor Market Semiconductor market size is 330 $B and will grow at +3% /year. 3D NAND and IoT application will sustain a demand for legacy KrF lasers. Semiconductor market ($B) 500 400 300 200 100 0 Light source (%) 100% 50% 0% G-line,I-line KrF ArF '97 '01 '05 '09 '13 '17 PC Notebook PC Feature phone Smartphone Tablet 3D-NAND IoT

History of Excimer Lasers Development at Komatsu 1980 - The first Excimer LASER was developed at Komatsu for the Coherent Anti-Stokes Raman Spectroscopy (a.k.a. CARS) system 2000 Komatsu and Ushio developed joint venture company Gigaphoton Inc. is founded!!! 1987 - Komatsu shipped the worldʼs first KrF excimer laser KLE-630S for lithography 2 full Watts output!!! 1989 - Cymer enters market of Excimer lasers for lithography tools. The rivalry begins!!! 1999 Our first KrF laser for semiconductor manufacturing, KLESG10K was shipped to overseas In 2000 Gigaphoton was born!

Business Model of DUV Light Source Composite business of System sales(light source unit sales) and Part sales (Maintenance business) Systems are sold to exposure equipment manufacturers. Upon system sales, Gigaphoton (GPI) receives a maintenance contract, called Pay-perpulse, from semiconductor manufacturers. * Pay-per-pulse: Charging system paid on the basis of the Laser usage (Pulse usage Pulse unit price) (GPI benefits)secure stable revenue stream Part lifetime extension enables cost reduction (Customer benefits) Ease of cost management Maintenance plan can be optimized to maximize utilization Main unit sales business Light source unit sales Exposure equipment manufacturers: ASML, Nikon, Canon GIGAPOTON group GPK (GIGAPHOTON Korea) GPT (GIGAPHOTON Taiwan) GPU (GIGAPHOTON USA) GPE (GIGAPHOTON Europe) GPSB (Singapore branch) KIS (China:Komatsu Industries) Maintenance business Parts sales Laser discharge tube module Optical module Exposure equipment sales Semiconductor manufactures: Intel, Micron, TSMC, Samsung, Global foundries, Toshiba, SK Hynix

Cumulative Light Source Installations 1400 Cumulative Installations 1200 1000 800 600 400 1300 light source are under operation. Breakdown at the end of fiscal 2016 KrF 68% ArF Dry...8% ArF Immersion 24% 200 0 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016

Sales and Profit Trend(Non-consolidated) 350 300 250 Operating profit (loss) Light source sales Part sales 100 Million Yen 200 150 100 50 0-50 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 (8( months) )

Priority for Exposure Light Source Support for expanding Chinese market In June 2014, the State Council of China issued the "National Guideline for the Development and Promotion of the IC Industry, to support the development of the domestic semiconductor industry. Semiconductor sales in 2015 will be increased by 40% compared with 2013, and in 2030 a number of worldclass companies will be nurtured. Introduction of EUV light source into the market Major semiconductor manufactures are planning aggressive investment to apply EUV for 7nm to 5 nm process. Highest level of durability and reliability are required for mass production. Two suppliers only. ASML(Cymer) and Gigaphoton. Established "China IC Industry Fund" of 2 trillion yen

Gigaphoton's Strategy for China Market One Gigaphoton Support Gigaphoton group is organizing a cross-sectional project centered on Optical division of Komatsu Industrial Shanghai(KIS) with HQ and oversea subsidiaries in order to quickly strengthen the China business. Strengthen local sales force Support form Gigaphoton HQ, Taiwan(GPT) and Korea(GPK) Opening of new support offices 6 offices (Today) 11 offices (End of FY2017) Opening of Training Center August 2017, Gigaphoton opened a training center in order to train new service engineers at Komatsu China in Changzhou City.

Semiconductor Roadmap & EUV Insertion EUV Production Insertion Window 2014 2015 2016 2017 2018 2019 2020 2021 2022 Logic 20nm 16/14nm 10nm 7nm 5nm 3nm Performance Memory Storage Class Memory DRAM 28-30 20-22 1X 1Y 1Z next Production Developmen t Research Roadmap ReRAM, X-point etc. 2X'/x2 1X"/x4 1Y"/x8 1Z"/x8 *Source: ASML Materials

Technology Concept of EUV Light Source High efficiency (x1/2 energy saving) High conversion efficiency by Pre-Pulse Technology (picosecond YAG laser) High power CO2 laser co-developed with Mitsubishi Electric High durability, High reliability Tin(Sn) debris mitigation with a super conductive magnetic field pre-pulse laser mainpulse laser EUV light Emission Emission mechanism Liquid Sn droplet (20um, liquid) Fine-mist (300um, liquid) Plasma (gas) Ions to be trapped by magnetic field (Remaining atoms on mirror etched by gas) Collector Mirror pre-pulse laser CO2 laser Chamber Configuration Droplet Generator Magnet Liquid Sn droplet Intermedia te Focus 9860mm Sub fab : Laser Outline view Fab : Chamber Transfer laser to fab Weight: 30ton 2300mm 6600mm

EUV Light Source Development Progress Achieved 250W output power, matching with the competitor under laboratory environment Current focus is on reliability improvement at the 100 W level (target at initial mass production) with pilot type EUV light source. Output power (W) 300 200 100 Target at high-volume Giga (R&D) Giga (R&D_Pilot) Competitor (R&D) Competitor (Product) Target at initial production 0 2014 H1 2014 H2 2015 H1 2015 H2 2016 H1 2016 H2 2017 H1

Light Source for FPD Annealing

Support Large-sized Glass Substrate Glass substrate has become larger along with upsizing TV screen. Our competitor cannot support beyond G6 due to their annealing optical system design. Glass substrate larger than G6 size is currently under development by a novel method by our partner, V-Technology company. Size of glass substrate 1 V-Technologyʼs annealing method (PLAS 2 ) for large-sized panel Competitorʼs annealing method (ELA) for small to mid-sized panel G10.5 2940 mm 3400 mm G8 2160 mm 2400 mm G7 1870 mm 2200 mm G6 X1500 mm Y1850 mm G8 X2200 mm Y2400 mm Capable Capable Capable (No track record) 3 G6 1500 mm 1800 mm G5 1100 mm 1250 mm G10 SDP X2880 mm Y3130 mm G10.5 BOE X2940 mm Y3370 mm Capable (No track record) Capable (No track record) 1 Size of glass substrate (Above figure) 2 PLAS : Partial Laser Anneal Silicon 3 Our competitorʼs line beam method has no track record for glass substrate larger than G6 due to the size limitation of the irradiation optical system

Features of Light Source for FPD Annealing Application FPD annealing Semiconductor exposure Type GT600K GT64A Appearance FPD annealing laser has a new and different panel color. Specifications Wavelength 248nm 193nm Repetition Rate 6000Hz 6000Hz Pulse Energy 100mJ 10mJ Output Power 600W 60W

New Head Office Building Completed Manufacturing capacity expansion (x1.4) to support increasing light source demand Improvement of office environment

THANK YOU Copyright Gigaphoton Inc.