Amphibian XIS: An Immersion Lithography Microstepper Platform

Similar documents
Synthesis of projection lithography for low k1 via interferometry

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Experimental measurement of photoresist modulation curves

Approaching the NA of Water: Immersion Lithography at 193nm

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Part 5-1: Lithography

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Immersion Lithography Micro-Objectives

Water Immersion Optical Lithography for the 45nm Node

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Product Presentation. BraggStar TM Industrial-LN (line narrowed) Breakthrough in Interferometric (IF) Fiber Bragg Grating (FBG) Writing Process

Photolithography II ( Part 2 )

Update on 193nm immersion exposure tool

Major Fabrication Steps in MOS Process Flow

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

MICROCHIP MANUFACTURING by S. Wolf

Lecture 5. Optical Lithography

PICO MASTER 200. UV direct laser writer for maskless lithography

UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Design Rules for Silicon Photonics Prototyping

Interferometric Lithography Optical System Utilizing a 442nm HeCd Laser

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Requirements and designs of illuminators for microlithography

Photolithography I ( Part 1 )

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

MICRO AND NANOPROCESSING TECHNOLOGIES

Integrated Photonics based on Planar Holographic Bragg Reflectors

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Will contain image distance after raytrace Will contain image height after raytrace

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Scalable high-power and high-brightness fiber coupled diode laser devices

Silicon Photonic Device Based on Bragg Grating Waveguide

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

A novel tunable diode laser using volume holographic gratings

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Reducing Proximity Effects in Optical Lithography

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Optical Waveguide Types

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

NEW APPROACHES IN OPTICAL LITHOGRAPHY TECHNOLOGY FOR SUBWAVELENGTH RESOLUTION. Hoyoung Kang. M.S. Hanyang University (1987) May 2005

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Purpose: Explain the top advanced issues and concepts in

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Bragg and fiber gratings. Mikko Saarinen

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

EE-527: MicroFabrication

- Optics Design - Lens - Mirror - Window - Filter - Prism

Optical Microlithography XXVIII

Photolithography Technology and Application

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic

Vanishing Core Fiber Spot Size Converter Interconnect (Polarizing or Polarization Maintaining)

What s So Hard About Lithography?

Chapter 15 IC Photolithography

Silicon Light Machines Patents

idonus UV-LED exposure system for photolithography

Optical Design Forms for DUV&VUV Microlithographic Processes

Be aware that there is no universal notation for the various quantities.

Feature-level Compensation & Control

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Laser Telemetric System (Metrology)

Frequency-stepping interferometry for accurate metrology of rough components and assemblies

MicroSpot FOCUSING OBJECTIVES

Micro- and Nano-Technology... for Optics

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

DOE Project: Resist Characterization

Optical Issues in Photolithography

Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors

The Laser Processing of Diamond and Sapphire

Dynamic Phase-Shifting Microscopy Tracks Living Cells

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Gerhard K. Ackermann and Jurgen Eichler. Holography. A Practical Approach BICENTENNIAL. WILEY-VCH Verlag GmbH & Co. KGaA

OPTICS IN MOTION. Introduction: Competing Technologies: 1 of 6 3/18/2012 6:27 PM.

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

A 193 nm deep-uv lithography system using a line-narrowed ArF excimer laser

Linewidth control by overexposure in laser lithography

Sub-50 nm period patterns with EUV interference lithography

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

A laser speckle reduction system

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING

Use of Computer Generated Holograms for Testing Aspheric Optics

Transcription:

Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department, 82 Lomb Memorial Drive, Rochester, New York 14623 Amphibian Systems, 125 Tech Park Drive, Rochester, New York 14623 ABSTRACT Recent advances in immersion lithography have created the need for a small field microstepper to carry out the early learning necessary for next generation device application. Combined with fluid immersion, multiple-beam lithography can provide an opportunity to explore lithographic imaging at oblique propagation angles and extreme NA imaging. Using the phase preserving properties of Smith Talbot interferometry, the Amphibian XIS immersion lithography microstepper has been created for research and development applications directed toward sub-90nm patterning. The system has been designed for use at ArF and KrF excimer laser wavelengths, based on a fused silica or sapphire prism lens with numerical aperture values up to 1.60. Combined with a chromeless phase grating mask, two and four beam imaging is made possible for feature resolution to 35nm. The approach is combined with X-Y staging to provide immersion imaging on a microstepper platform for substrates ranging up to 300mm. The Amphibian system consists of single or dual wavelength sources (193nm and 248nm), a 2mm exposure field size, stage accuracy better than 1 um, polarization control over a full range from linear polarization to unpolarized illumination, full control of exposure dose and demodulation (to synthesize defocus), and the ability to image both line patterns as well as contact features. A fluid control system allows use of water or alternative fluids, with the ability to change fluids rapidly between wafers. The Amphibian system is fully enclosed in a HEPA and amine controlled environment for use in fab or research environments. Keywords: Immersion, Lithography, Microstepper, Excimer Laser, Talbot, Interference 1. INTRODUCTION Advances in UV optical lithography will extend toward the nanoscale for applications in the fields of semiconductor devices, nano and microstructures, advanced packaging, bio devices, and optical components. We have a developed a small field immersion lithography tool for research into materials and processes extending toward the 32nm device generations. The system provides the early learning required for applications identified by the International Technology Roadmap for Semiconductors (ITRS) out to the year 2013. The design of the system addresses several desirable goals for an immersion lithography research and development tool. These include: - Excimer laser based immersion interferometric exposure - NA values from 0.54 to 1.60 - Automated step-and-repeat exposure - 150mm, 200mm, and 300mm wafer capability - Robotic wafer handling - Automated fluid dispense to accept water or alternative fluids - 193nm (ArF) or 248nm (KrF) capability - Chromeless PSM lithography with commercial PSM gratings - Full polarization control - Automated single beam attenuation for Modulation/Exposure matrices - Exposure times 0.5 to 5 second range - Multiple exposure arrays on a single wafer - Two-pass exposure for contact arrays

2. SYSTEM DESCRIPTION The Amphibian XIS imaging approach is based on Smith-Talbot interferometry, as shown in Figure 1, which has been described previously [1, 2]. The lens is based on a fused silica prism with surfaces polished and coated allow imaging through a fluid meniscus interface. ArF / KrF excimer Long temporal and spatial coherence length Turning mirror Shutter Beam expander Field Stop UV polarizer Figure 1. Schematic of Smith- Talbot interferometric lithography Spatial Filter Chromeless Phase Shift mask Single beam blocker Smith Talbot Prism Lens Fluid meniscus 2.1 Lens options Smith Talbot lenses between 0.54 and 1.40 NA are designed using ArF grade fused silica material for use with water as the imaging media. However, the Amphibian XIS and the Smith Talbot lens design approach are not limited to 1.40NA. By designing fused silica lenses for use with a high index fluid (HIF), numerical apertures up to 1.50 are possible. Furthermore, by designing the Smith Talbot lens for aluminum oxide (Al 2 O 3 or sapphire) and a HIF, numerical apertures up to 1.60 can be obtained. Table 1 shows an example of the available lenses. Most values of numerical aperture between 0.54 and 1.60 are possible. The standard lens NA range is between 0.54 and 1.35. NA Half-pitch Lens Material Fluid Standard / Optional 0.54 90 nm Fused Silica Water Standard 0.80 60 nm Fused Silica Water Standard 1.05 45 nm Fused Silica Water Standard 1.20 40 nm Fused Silica Water Standard 1.35 36 nm Fused Silica Water Standard 1.40 34 nm Fused Silica Water Optional 1.50 32 nm Fused Silica HIF Optional 1.50 32 nm Al 2 O 3 HIF Optional 1.60 30 nm Al 2 O 3 HIF Optional Table 1. Smith Talbot lens examples available for 193nm imaging.

Three configurations of the basic system design are shown in Figure 2 1. Amphibian XIS SW (Single Wavelength) with Lambda OPTEX Pro for single source exposure. 2. Amphibian XIS SW (Single Wavelength) with Tui BraggStar for single source exposure. 3. Amphibian XIS DW (Dual Wavelength) for dual source and dual column exposure. Figure 2. Possible configurations of the Amphibian XIS-SW (left) with OPTEX PRO (center) with BraggStar and (right) with a dual wavelength option. 2.2 Laser source The Amphibian XIS tool is designed for use with a compact excimer laser running with the following minimum conditions: - Compact to fit into framework of Amphibian XIS (<1m2) Repetition rate > 80Hz Output energy >0.5 mj/pulse all repetition rates Temporal bandwidth <10 pm Spatial coherence > 100 µm Beam uniformity better than 10% over 0.5mm2 area These specifications have been achieved with two compact excimer lasers that have been modified to meet the specific needs of the tool. The laser sources available for the tool are given below. 1. Lambda Physik OpTexPro TROM. The OpTexPro is a self contained, compact laser with a modular design that facilitates serviceability and provides maximum flexibility in the working environment. The air-cooled OpTexPro features a maintenance-free solid-state switch, smooth pre-ionization, and optimized gas flow. The laser can be operated at 200 Hz with unlimited duty cycle, and operated up to 500 Hz with limited duty cycle. Output energy is >1mJ/pulse. A line-narrowing assembly has been incorporated into the laser to achieve the performance specifications listed above. The TROM unit includes three-prism beam expansion with a grating, externally mounted to the back of the laser frame. The laser footprint remains unchanged and a nitrogen purge exists to assist optic lifetime and reduce ozone. 2. Tui BraggStar Industrial-LN. The Tui BraggStar Industrial-LN is a long temporal coherence length compact laser designed for fiber Bragg grating writing and interferometric applications. The laser features up to 1000 Hz repetition rate, >1 mj/pulse energy output using metal / ceramic tube technology.

2.3 Fluid delivery system Fluid delivery is carried out in a static mode using a software controlled syringe pump to dispense a meniscus of fluid at a user-determined location on the wafer surface. Upon fluid dispense, the wafer stage automatically centers the meniscus under the optical column, at which time the column is precisely lowered to create a fluid gap between the prism lens and wafer of 0.1 to 5mm (as determined by the user). The fluid is stepped with the lens and held in place via surface tension, where the fused silica prism surface provides hydrophilicity and the resist surface provides hydrophobicity for an aqueous immersion fluid. The approach is not limited to aqueous fluids, however, as several alternative fluids have been tested successfully using this design. The design of the fluid delivery system also allows for rapid changing of fluids by replacing the syringe, delivery line, and syringe needle assembly with a new assembly preloaded with a new fluid. The removal step can be performed to dispose of an immersion fluid or to collect the sample for analysis. Once the multiple field exposures are complete, the stage is moved out from under the prism lens as the column is raised away from the wafer substrate. A collection syringe is used to remove the fluid for sampling or the fluid is wicked from the prism lens for disposal. The exposure operation can then be followed by an automated lens cleaning operation, where clean water is dispensed to several locations on a bare, clean silicon wafer and the optical column is successively lowered into the menisci to remove contaminants. 2.4 Changing effective numeric aperture (NA eff ). The Smith-Talbot prism lens design is based on Talbot interferometry, where the approach forces the path length of the interfering beams to be equal at the center of the image field. A phase grating produces a pair of beams with identical coherence properties and only these +1st and 1st diffraction orders are used in the imaging interference (the effect is equivalent to coherent strong phase shift mask lithography). Recombination of the beams occurs at the internal reflective surfaces of the prism lens, created via the reflective coating of the prism facets. The angle of the facets, combined with the phase grating pitch, defines the arrival angle at the wafer. The bottom surface together with the wafer forms the fluid gap. The top surface has an anti-reflective coating to minimize stray light. A schematic of the arrangement for the prism lenses is shown in Figure 3. p h a s e g r a t i n g Figure 3. The Smith-Talbot prism lens q u a r t z f l u i d The advantage of this unique design for interference imaging is the inherent preservation of coherence between the two interfering imaging beams. By using a phase grating to create the two optical paths, left-to-right beam coherence is guaranteed with the approach, allowing for the use of a source with relatively poor spatial and temporal coherence properties. This allows for the use of an excimer laser and the imaging source. Alternative interferometric approaches using conventional methods for beam-splitting require a highly coherent source because of the poor phase relationship between reflected and transmitted beams (as with Michelson and other similar interferometry). The illuminated prism

approach to interferometry also possesses the same problem, where a highly coherent laser source must be used. The coherence preserving aspect of the Smith Talbot and Amphibian designs allow for a very robust, cost effect imaging system based on a compact excimer laser (vs. a more expensive solid-state, frequency shifted laser source). An additional benefit of the Smith Talbot approach is the reduction of interference artifacts including speckle and ringing anomalies.an example of two such lenses (1.05 and 1.25) is shown in Figure 4. Figure 4. A schematic of the Smith-Talbot lenses for 1.25 NA and 1.05 NA. The Amphibian XIS Smith-Talbot lenses are mounted in threaded collars and held in individual gimbal mount assemblies for quick-change removal and replacement. Mounts are designed for exact positioning performance, which eliminates angular or positional crosstalk. The working distance is computer controlled by z-axis stage control to < 0.50µm. The total time between required to change lenses is less than five minutes. Figures 5 show views of the lens assembly and gimbal mount. Figure 5. The lens assembly for the Amphibian tool, showing the Quick-change gimbal mounted Smith- Talbot prism. 2.5 Adjusting image modulation The Amphibian tool can utilize interferometric lithography to synthesize the functions of conventional projection photolithography. The approach is fully automated and programmed into the software user interface. The method employed with this system involves the blocking of one of the first diffraction orders during part of the resist exposure. This results in background energy with zero modulation added to the two-beam interference pattern. By controlling the

ratio of two-beam to single-beam exposure, the system is able to produce varying modulation conditions. The approach is shown in Figure 6. A small stepper motor mounted in proximity to the prism lens is used to control a blocking blade, which covers one of the diffraction order beams. Through software control, the user determines the level of desired demodulation, which splits the full exposure time into portions of single and two-beam imaging. The user selects the projection lithography condition to synthesize, based on a look-up table created from lithography simulations (such as with Prolith). Such a look up table is used for example in Figure 7 to create a four-zone transfer plot correlating single beam exposure contribution to defocus. By choosing the level of defocus to produce (in linear increments), the software selects the level of demodulation to match (using the non-linear look-up function). The wafer is exposed in an array varying exposure and (synthesized) defocus to produce a conventional FE matrix using interferometry. Figure 6. Single beam blocking for the Amphibian tool. Figure 7. A four-zone transfer plot correlating single beam exposure to defocus for the Amphibian tool.

2.6. Contact hole imaging Contact hole exposure can be approximated using a double pass exposure. It should be noted that the diffraction pattern and subsequent intensity image for a contact array from a conventional projection lithography system cannot be strictly matched using interferometric lithography. This is primarily because of the presence of zero order in the contact array diffraction pattern. The use of a single beam secondary exposure to match image modulation between interferometric imaging and projections imaging, as describe for one dimensional patterns, is not sufficient for matching in this two dimensional case. The approach used to synthesize contact arrays with the Amphibian tool is a two-pass exposure, where line features in the Y direction are printed over line features in the X direction. This is accomplished by a first pass exposure of the wafer, removal of the wafer from the wafer chuck, and replacement of the wafer after a 90-degree rotation. The placement accuracy of the LUDL robot is better than 3 micrometers. The rotation accuracy is better than 2-arc minutes. This operation is fully automated using the software interface, where the user defines an X-Y array for the first pass exposure and Y-X translation of the array is carried out for the second pass exposure. This approach ensures symmetrical polarization for each exposure, whether TE (similar to azimuthal polarization), TM (similar to radial polarization), or unpolarized. An example of the resist intensity pattern for two-pass TE polarized contact array is shown in Figure 8. Additionally, an interferometric lithography simulation program (ILSim) is provided with the Amphibian tool for testing various imaging scenarios prior to exposure. Figure 8. ILSim simulation results showing two-pass contact array exposure using TE polarization and1.02na for 48nm contacts in resist over BARC and under topcoat. 3. LITHOGRAPHIC PERFORMANCE Lithographic performance of the Amphibian XIS with a 1.05NA lens for 45nm half-pitch imaging is shown in Figure 9. Images are shown from 45kX to 7kX magnification to demonstrate the uniformity that can be achieved across a 2 mm field. Figure 10 shows a low magnification image of the entire image field, spanning over 2mm in diameter. Figure 11 shows CD uniformity over a 2mm field at 7 sites for four separate exposure fields, resulting is

10.7kX 29.8kX Figure 9. Imaging results using a 1.05NA lens for 45nm half-pitch resolution. 39.6kX 60.5kX 2mm 1mm Figure 10. Full field exposure uniformity over 1mm and 2mm. Figure 11. CD uniformity for 45nm features across four fields and 2mm field diameter.

4. CONCLUSIONS The Amphibian XIS excimer immersion microstepper has been designed to meet the immersion lithography research and development needs required to pursue the 65nm, 45nm, and 32nm device generations. By using Smith Talbot interferometry for phase mask interference lithography, a simple robust system has been achieved. The system allows for numerical apertures up to 1.60 with water and alternative high index fluids. Robotic wafer handling has been designed into the tool for 150-300mm substrates and the system can operate at 193nm (ArF), 248nm (KrF) or in a dual wavelength set-up. Full polarization control is allowed as is two-pass exposure for contact array emulation. By meeting the design goals set out for the tool, the Amphibian XIS is a versatile immersion lithography research and development exposure system. 5. REFERENCES [1] B.W. Smith, A. Bourov, Y. Fan, L. Zavyalova, N. Lafferty, F. Cropanese, Approaching the numerical aperture of water - Immersion lithography at 193nm, Proc. SPIE 5377 (2004). [2] A. Bourov, Y. Fan, Frank C. Cropanese, N. Lafferty, L. Zavyalova, B. W. Smith, Immersion microlithography at 193 nm with a Talbot prism interferometer, Proc. SPIE 5377 (2004).