Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Similar documents
Lecture 13 CMOS Power Dissipation

19. Design for Low Power

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

Leakage Current Analysis

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

4: Transistors Non idealities

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Power Spring /7/05 L11 Power 1

3: MOS Transistors. Non idealities

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

Ultra Low Power VLSI Design: A Review

Low Power Design in VLSI

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

Session 10: Solid State Physics MOSFET

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Effect of Aging on Power Integrity of Digital Integrated Circuits

D n ox GS THN DS GS THN DS GS THN. D n ox GS THN DS GS THN DS GS THN

UNIT 3: FIELD EFFECT TRANSISTORS

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Leakage Power Reduction in CMOS VLSI

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals.

3.CMOS Inverter-homework

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Chapter 2 Combinational Circuits

An Overview of Static Power Dissipation

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

ECE 3110: Engineering Electronics II Fall Final Exam. Dec. 16, 8:00-10:00am. Name: (78 points total)

UNIT-II LOW POWER VLSI DESIGN APPROACHES

8. Combinational MOS Logic Circuits

C H A P T E R 5. Amplifier Design

Today's Goals. Finish MOS transistor Finish NMOS logic Start CMOS logic

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Topic 2. Basic MOS theory & SPICE simulation

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Jan Rabaey, «Low Powere Design Essentials," Springer tml

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Low Power Design. Prof. MacDonald

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Power dissipation in CMOS

Standby Power Management for a 0.18µm Microprocessor

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

MOS TRANSISTOR THEORY

Interconnect/Via CONCORDIA VLSI DESIGN LAB

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

8. Characteristics of Field Effect Transistor (MOSFET)

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Comparison of Power Dissipation in inverter using SVL Techniques

MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Low Power Design of Successive Approximation Registers

Standby Power Management for a 0.18µm Microprocessor

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

EE70 - Intro. Electronics

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration)

EE 230 Lab Lab 9. Prior to Lab

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

Sub-Threshold Region Behavior of Long Channel MOSFET

Static Energy Reduction Techniques in Microprocessor Caches

Part II: The MOS Transistor Technology. J. SÉE 2004/2005

MOSFET & IC Basics - GATE Problems (Part - I)

A NOVEL DYNAMIC POWER CUTOFF TECHNOLOGY (DPCT) FOR ACTIVE LEAKAGE REDUCTION IN DEEP SUBMICRON VLSI CMOS CIRCUITS

Q1. Explain the construction and principle of operation of N-Channel and P-Channel Junction Field Effect Transistor (JFET).

Contents 1 Introduction 2 MOS Fabrication Technology

IFB270 Advanced Electronic Circuits

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Design of a Low Voltage Class-AB CMOS Super Buffer Amplifier with Sub Threshold and Leakage Control Rakesh Gupta

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Low Power Techniques for SoC Design: basic concepts and techniques

Propagation Delay, Circuit Timing & Adder Design

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

White Paper Stratix III Programmable Power

Technical Paper FA 10.3

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Week 7: Common-Collector Amplifier, MOS Field Effect Transistor

Study of Outpouring Power Diminution Technique in CMOS Circuits

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Transcription:

Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu

The Chip is HOT Power consumption increases with the transistor count 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 2

Power and Energy Power is drawn from a voltage source attached to the V DD pin(s) of a chip. Instantaneous Power: P( t) I( t) V ( t) Energy: E T P() t dt 0 Average Power: P avg T E 1 T T 0 P() t dt A typical power breakdown 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 3

Power in Circuit Elements Voltage/Current Source: Power consumption: P t I t V Resistor: Heat dissipation: VDD DD DD t 2 VR 2 PR t I Rt R R Capacitor: Energy Stored: dv EC I tv tdt C V t dt dt 0 0 V C 0 C V t dv CV 1 2 2 C 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 4

Charging a Capacitor When the gate output rises Energy stored in capacitor is E C V 1 2 C 2 L DD But energy drawn from the supply is dv E I t V dt C V dt VDD DD L DD dt 0 0 VDD 2 L DD L DD 0 C V dv C V Half the energy from VDD is dissipated in the pmos transistor as heat, other half stored in capacitor When the gate output falls Energy in capacitor is dumped to GND Dissipated as heat in the nmos transistor 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 5

Switching Waveforms Example: V DD = 1.0 V, C L = 150 ff, f = 1 GHz 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 6

Switching Power Switch power calculation: T 1 Pswitching idd () t VDDdt T 0 V T DD V T CV DD T 0 i 2 DD DD sw sw () t dt Tf CV f DD VDD i DD (t) f sw C 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 7

Activity Factor Suppose the system clock frequency = f Let f sw = af, where a = activity factor If the signal is a clock, a = 1 If the signal switches once per cycle, a = ½ Dynamic power: P acv f switching 2 DD For dynamic power reduction, try to minimize: Activity factor Capacitance Supply voltage Frequency 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 8

Short Circuit Current When transistors switch, both nmos and pmos networks may be momentarily ON simultaneously Leads to a blip of short circuit current. < 10% of dynamic power if rise/fall times are comparable for input and output We will generally ignore this component 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 9

Power Dissipation Sources Total power: P total = P dynamic + P static Dynamic power: P dynamic = P switching + P shortcircuit Switching load capacitances Short-circuit current Static power: P static = (I sub + I gate + I junct + I contention )V DD Subthreshold leakage Gate leakage Junction leakage Contention current 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 10

Dynamic Power Example 1 billion transistor chip 50M logic transistors Average width: 12 l, Activity factor = 0.1 950M memory transistors Average width: 4 l, Activity factor = 0.02 1.0 V 65 nm process C = 1 ff/mm (gate) + 0.8 ff/mm (diffusion) Estimate dynamic power consumption @ 1 GHz. Neglect wire capacitance and short-circuit current. logic mem 6 l m l m C 5010 12 0.025 m / 1.8 ff / m 27 nf 6 l m l m C 95010 4 0.025 m / 1.8 ff / m 171 nf 2 Pdynamic 0.1C logic 0.02C mem 1.0 1.0 GHz 6.1 W 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 11

Activity Factor Estimation Let P i = Prob(node i = 1) P i = 1-P i a i = P i * P i Completely random data has P = 0.5 and a = 0.25 Data is often not completely random e.g. upper bits of 64-bit words representing bank account balances are usually 0 Data propagating through ANDs and ORs has lower activity factor Depends on design, but typically a 0.1 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 12

Switching Probability Activity of logic functions 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 13

Example A 4-input AND is built out of two levels of gates Estimate the activity factor at each node if the inputs have P = 0.5 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 14

Clock Gating The best way to reduce the activity is to turn off the clock to registers in unused blocks Saves clock activity (a = 1) Eliminates all switching activity in the block Requires determining if block will be used 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 15

Capacitance Gate capacitance Fewer stages of logic Small gate sizes Wire capacitance Good floorplanning to keep communicating blocks close to each other Drive long wires with inverters or buffers rather than complex gates 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 16

Voltage / Frequency Run each block at the lowest possible voltage and frequency that meets performance requirements Voltage Domains Provide separate supplies to different blocks Level converters required when crossing from low to high V DD domains Level shifter Dynamic Voltage Scaling Adjust V DD and f according to workload 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 17

Static Power Static power is consumed even when chip is quiescent. Leakage draws power from nominally OFF devices Ratioed circuits burn power in fight between ON transistors Example: Revisit power estimation for 1 billion transistor chip Estimate static power consumption Subthreshold leakage Normal V t : 100 na/mm High V t : 10 na/mm High Vt used in all memories and in 95% of logic gates Gate leakage 5 na/mm Junction leakage negligible 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 18

Solution W W normal-v high-v t t 6 6 50 10 12l 0.025mm / l 0.05 0.75 10 mm 6 6 6 50 10 12l 0.95 950 10 4l 0.025mm / l 109.25 10 mm Isub Wnormal-V 100 na/ mm+ W t high-v 10 na/ mm / 2 584 ma t I gate Wnormal-V W t high-v 5 na/ mm / 2 275 ma t P 584 ma static 275 ma1.0 V 859 mw 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 19

Subthreshold Leakage For Vds > 50 mv I sub I off 10 V V V k V gs ds DD sb S Ioff = leakage at Vgs = 0, Vds = VDD Typical values in 65 nm Ioff = 100 na/mm @ Vt = 0.3 V Ioff = 10 na/mm @ Vt = 0.4 V Ioff = 1 na/mm @ Vt = 0.5 V h = 0.1 kg = 0.1 S = 100 mv/decade 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 20

Stack Effect Series OFF transistors have less leakage Vx > 0, so N2 has negative Vgs V V x DD V V V V k V S I I 10 I 10 V sub off off x VDD 1 2 N2 N1 k Leakage through 2-stack reduces ~10x Leakage through 3-stack reduces further x DD x DD x 1 k VDD 1 2 k V S S sub off 10 off 10 I I I S DD 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 21

Leakage Control Leakage and delay trade off Aim for low leakage in sleep and low delay in active mode To reduce leakage: Increase V t : multiple V t Use low V t only in critical circuits (VTL/VTG/VTH in FreePDK45) Increase V s : stack effect Input vector control in sleep Decrease V b Reverse body bias in sleep (increase V t ) Or forward body bias in active mode (reduce V t ) 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 22

Gate Leakage Extremely strong function of t ox and V gs Negligible for older processes Approaches subthreshold leakage at 65 nm and below in some processes An order of magnitude less for pmos than nmos Control leakage in the process using t ox > 10.5 Å High-k gate dielectrics help Some processes provide multiple t ox e.g. thicker oxide for 3.3 V I/O transistors Control leakage in circuits by limiting V DD 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 23

NAND3 Leakage Example 100 nm process I gn = 6.3 na I gp = 0 I offn = 5.63 na I offp = 9.3 na 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 24

Junction Leakage From reverse-biased p-n junctions Between diffusion and substrate or well Ordinary diode leakage is negligible Band-to-band tunneling (BTBT) can be significant Especially in high-v t transistors where other leakage is small Worst at V db = V DD Gate-induced drain leakage (GIDL) exacerbates Worst for V gd = -V DD (or more negative) 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 25

Power Gating Turn OFF power to blocks when they are idle to save leakage Use virtual VDD (VDDV) Gate outputs to prevent invalid logic levels to next block Voltage drop across sleep transistor degrades performance during normal operation Size the transistor wide enough to minimize impact Switching wide sleep transistor costs dynamic power Only justified when circuit sleeps long enough 10/9/2017 CSCE/ELEG 4914: Advnaced Digital Design 26