Scope and Limit of Lithography to the End of Moore s Law

Similar documents
EUVL getting ready for volume introduction

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

2009 International Workshop on EUV Lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Mask Technology Development in Extreme-Ultraviolet Lithography

Nikon EUVL Development Progress Update

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Update on 193nm immersion exposure tool

Electron Beam Lithography. Adam Ramm

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

MAPPER: High throughput Maskless Lithography

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

R&D Status and Key Technical and Implementation Challenges for EUV HVM

EUV lithography: status, future requirements and challenges

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

(Complementary E-Beam Lithography)

EUV Lithography Transition from Research to Commercialization

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Advanced Patterning Techniques for 22nm HP and beyond

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Optics for EUV Lithography

From ArF Immersion to EUV Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Holistic View of Lithography for Double Patterning. Skip Miller ASML

EUV lithography: today and tomorrow

MICROCHIP MANUFACTURING by S. Wolf

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Imaging for the next decade

EUV Light Source The Path to HVM Scalability in Practice

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Challenges of EUV masks and preliminary evaluation

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Status and challenges of EUV Lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

EUV Substrate and Blank Inspection

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

PICO MASTER. UV direct laser writer for maskless lithography

High-NA EUV lithography enabling Moore s law in the next decade

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

PICO MASTER 200. UV direct laser writer for maskless lithography

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Lithography on the Edge

Light Sources for High Volume Metrology and Inspection Applications

1. INTRODUCTION ABSTRACT

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

16nm with 193nm Immersion Lithography and Double Exposure

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Optical Microlithography XXVIII

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Multi-beam mask writer MBM-1000 for advanced mask making

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

EUV Supporting Moore s Law

Registration performance on EUV masks using high-resolution registration metrology

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Pellicle dimensions for high NA photomasks

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Optical Maskless Lithography (OML) Project Status

Progress in full field EUV lithography program at IMEC

EUVL Activities in China

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Evaluation of Technology Options by Lithography Simulation

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

DSA and 193 immersion lithography

Metrology in the context of holistic Lithography

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Micro- and Nano-Technology... for Optics

Nikon F2 Exposure Tool

Mask magnification at the 45-nm node and beyond

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Optical Maskless Lithography - OML

Next-generation DUV light source technologies for 10nm and below

Progresses in NIL Template Fabrication Naoya Hayashi

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Process Optimization

Part 5-1: Lithography

Feature-level Compensation & Control

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

EUV Interference Lithography in NewSUBARU

Transcription:

Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1

What dictate the end of Moore s Law Economy Device limits Lithography limits 2

Litho Requirement of Critical Layers Logic Node (nm) Poly Half Pitch (nm) CD Uniformity (nm) Overlay Accuracy (nm) 32 45 3.2 9.6 22 32 2.2 6.6 16 22 1.6 4.8 11 16 1.1 3.3 8 11 0.8 2.4 These are generic technology nodes that have no correlation to TSMC nodes 3

Pushing the Limits of Lithography Pitch splitting with ArF water immersion Further wavelength reduction to EUV Multiple E-Beam Maskless lithography 4

Resolution of Tools from ArF to MEB λ NA nλ DOF = k3 NHA2 MFS = k1 5

Multiple Patterning Double patterning => L + E + L + E = 2L2E Triple patterning => 3L3E Multiple patterning can be used for Pitch splitting Pattern trimming Spacers 6

Split Pitch with Line-End Cutting Mask B Mask A Mask C Active Cut 7

Contact Pitch Splitting C A Watch out for G-rule violation Mask 1 B Mask 2 8

Multiple Patterning in ArF Immersion Logic Node Poly Half Pitch (nm) Contact Half Pitch (nm) Metal Half Pitch (nm) Immersion k1 for Poly Immersion k1 for Contact Immersion k1 for Metal Multiple Patterning Immersion k1 for Poly Immersion k1 for Contact Immersion k1 for Metal 32nm 22nm 16nm 11nm 8nm 45 50 45 0.31 0.35 0.31 1 0.31 0.35 0.31 32 35 32 0.22 0.24 0.22 2 0.45 0.49 0.45 22 25 22 0.15 0.17 0.15 2 0.31 0.35 0.31 16 17 16 0.11 0.12 0.11 3 0.34 0.36 0.34 11 12 11 0.08 0.08 0.08 4 0.31 0.34 0.31 9

Pushing the Limits of Lithography Pitch splitting Cost Multiple E-Beam Maskless lithography Design rule restriction Processing complexity Requirement of overlay accuracy Further wavelength reduction EUV 10

EUV Lithography 11

k1 of EUVL Node NA EUV k1 for Poly EUV k1 for Contact EUV k1 for Metal 22nm 0.25 0.59 0.65 0.59 16nm 0.32 0.52 0.59 0.52 11nm 0.32 0.38 0.40 0.38 8nm 0.45 0.37 0.40 0.37 12

EUV Lithography APPEALS Wavelength=13.5 nm 7% of 193 nm 10% of 134 nm For 22-nm half pitch at 1.35NA and 193-nm wavelength, k1=0.15. Same half pitch at 0.25NA and 13.5-nm wavelength, k1=0.52. Ample DOF Simpler OPC intuitively Evolutional mask writing CHALLENGES Laser power/resist sensitivity/ LWR impasse Stringent mask spec. Absence of pellicle Mask inspection and repair Atomic-precision optics Stray light(lens flare) Contamination and life time of optical elements Cost 13

Comparison of LPP and DPP Sources Need >400W for HVM LPP DPP No hardware close to plasma One-stage energy conversion No moving part in vacuum Easy 100% duty cycle Good point source Two-stage energy conversion (low efficiency) Need IR filter Large footprint in subfab Difficult debris mitigation Smaller footprint in subfab Does not need IR filter Needs little H2 for debris mitigation Difficult thermal management at hardware close to plasma Source shape not as good Difficult to achieve 100% duty cycle High H2 consumption 14

Positioning Errors due to Mask Rotation and Translation From M1 Mask a To M6 θ =60 Wafer a' α 2α 2 mα δ x' a' X Xα Off-center tilt 2 mα δ Ztran 2 δ Ztran tanθ δ z' Mask surface misposition 15

EUV Mask Flatness Requirement Node 22nm 16nm 11nm 8nm θ (deg) 6.0 6.0 6.0 8.0 tan(θ ) 0.105 0.105 0.105 0.141 Mask flatness required (nm) 46.5 33.8 23.3 12.6 16

OPC Considerations Uneven flare and shadowing effect require fielddependent OPC. Inter-field flare necessitates dummy exposures at wafer edge. Flare signature if inconsistent between scanners, requires dedicated mask. Flare stability still unknown. 17

On Lack of Pellicle Developed reticle box for freedom from contamination during storage, transportation, loading/unloading. Attraction of particulates by the electrostatic mask chucking has to be minimized. Need to block line-of-sight exposure to Sn debris source. Maintain high vacuum. Minimize presence of trace Carbon-containing vapor and H2O vapor. 18

EUV Extendibility 19

High-NA EUV Design Solutions NA 0.25 0.32 0.4x 0.7 central obscured 6 mirrors unobscured 8 mirrors 27 nm NXE:3100 16 nm NXE:3300 schematic designs for illustration only. central obscured 11 nm 8 nm W. Kaiser et al., SPIE 2008 20

NA and k1 of Photon Tools Node 22nm 16nm 11nm 8nm Half pitch (nm) ArF λ (nm) water NA k1 immersion EUV at λ (nm) constant NA k1 k1 EUV at λ (nm) diminishing NA k1 k1 32 193 1.35 0.22 13.5 0.25 0.59 13.5 0.25 0.59 22 193 1.35 0.15 13.5 0.36 0.59 13.5 0.32 0.52 16 193 1.35 0.11 13.5 0.50 0.59 13.5 0.32 0.38 11 193 1.35 0.08 13.5 0.73 0.59 13.5 0.45 0.37 Cannot maintain constant k1 because of Diminishing DOF Expensive NA 21

DOF of EUV Node EUV at λ (nm) diminishing NA k1 k1 DOF (k3) Theoretical (nm) Experimental (nm) 22nm 13.5 0.25 0.593 0.612 520 300 16nm 13.5 0.32 0.521 0.557 286 11nm 13.5 0.32 0.379 0.242 124 8nm 13.5 0.45 0.367 0.235 59 DOF determined with common E-D window 0.4:0.6 Resist line : space Allowance for mixed pitches 22

13.5nm light may be reaching physical resolution & DOF limits at 11nm Half Pitch or earlier. 23

It may reach the economic limit much earlier. 24

Multiple E-Beam Maskless Lithography 25

Reflective E-Beam Lithography (REBL) Key Features DPG: Dynamic e-beam reflective mask Rotary wafer stage: * Eliminates acceleration/deceleration * Allows multiple columns per stage Conventional 50keV e-beam reduction optics Figure provided by KLA-Tencor 26

REBL Source Current Utilization DPG efficiency >50% Aperture 2 : > 90% Aperture 1 : 10% Aperture 3 : 95% REBL EUV ~ 4.4% source current utilization ~ 0.1% from IF to wafer 27

Components of DPG Image Placement System DPG Clocking and Print Enable E beam Deflectors and Focus Electronics and Algorithms: WMS system GA C -Several complex subsystems with very tight synchronization -Sophisticated Controls and Signal Processing -High speed Signal Processing (~200MHz) -Sensor fusion algorithms to drive beam deflection Wafer with Patterns Maglev Stage: Various Sensors And Actuators Height Sensor E beam Position Detection System Differential IFM: Master Coordinate System 28

Simulation of REBL Imaging 29

MAPPER Technology Single electron source split in 13,000 Gaussian beams Vacc = 5 kev Apertures are imaged on substrate through 13,000 micro lenses MEMS-stacked static electric lenses. Optical-switch, CMOS-MEMS blanker array Simple B&W bitmap data through light signal * Infomation from MAPPER Lithography. 30

Direct Write Scheme 300 mm wafer Each beam writes 2 µm stripe Electron beam Field 26 mm EO slit EO slit 13,000 beams 150 µm 150 µm 2.25 nm 1 field ~ 26x33mm2 Beam OFF Beam ON Wafer movement 2 um <~ 33 mm (match to scanner field size), then repeat Each beam writes 2µm width by up to 33mm long stripe. 31

Multiple E-Beam Maskless Lithography for High Volume Manufacturing HVM clustered production tool: >13,000 beams per chamber (10 WPH) 10 WPH x 5 x 2 = 100 WPH Footprint ~ArF scanner 1m 32

PEC Verification Against Immersion Image ArF immersion MAPPER Raster scan exposure @ 15µC/cm2 P-CAR 45 nm thickness Pixel size 2.25 nm EPC by Double Gaussian model 33

Multiple-E-Beam Results 110 beams working Each beam covers a 2x2µm2 block Met CD mean-to-target & CDU spec From 11 randomly selected beams. Data from 110 beams are substantially identical. B.J. Lin, SPIE Proceedings vol. 7379, pp. 737902-1~11, 2009 34

EPC for MAPPER Pre-α Tool @ TSMC P72 P81 P90 P122 P180 P360 P1202 Before EPC After EPC 45-nm CAR-P1@ 30 µc/cm2 Proximity 50.0 Proximity error: 12.3 nm before EPC, 8.7 nm after EPC (not yet optimized) DOW (nm) 45.0 40.0 Before Correction After Correction 35.0 30.0 25.0 1300 1200 1100 1000 900 800 700 600 500 400 300 200 100 0 Pitch (nm) 35

Challenge in Data Rate and Volume Total pixels in a field (0 & 1 bitmap) = (33mm*26mm) / (2.25nm*2.25nm) * 1.1(10% over scan) = 190 T-bits = 21.2 T-Bytes A 300-mm wafer has ~ 90 fields 20 WPH < 1.8 sec / field 13,000 beams data rate > 7.5 Gbps / beam! In addition to data rate, also challenge in data storage and cost 36

11-nm Node Dithering Raster Results 16-nm HP L/S with 0.1-nm EPC layout grid CD accuracy vs NDV (nm) CD accuracy/weef vs NDV (nm) Tot.Blur (nm) 10 19.2 1 Tot.Blur (nm) 10 19.2 1 17.6 17.6 16 0.1 14.4 0.44 12.8 14.4 0.44 ) m (n F E /W ry u c a D C ) m (n ry u c a D C 16 0.1 0.01 0.01 0.1 1 0.1 1 2 NDV (bit/nm (bit/nm ) NDV ) NDV (bit/nm ) NDV (bit/nm2) 2 2 Gray level (bit) 2 1.8 1.6 1.4 1.2 1 0.8 0.6 0.4 0.2 0 0.44/3 Tot.Blur (nm) 16 14 Tot.Blur (nm) 19.2 12 19.2 17.6 10 16 14.4 12.8 17.6 4/3 8 it) (b v le y ra G 2) NDV vs Pixel size (bit/nm2) m it/n (b V D N 12.8 16 6 14.4 4 12.8 2 0 0 1 2 3 4 Pixel size (nm) Pixel size (nm) 5 0 1 2 3 4 5 Pixel size (nm) Pixel size (nm) 50% NDV improvement by dithering raster 37

Data Path Challenges Memory Bank Data processors Data Beam transmitters modulator (per channel) 3.5 Gbit/s Per channel System size and cost with large amount of memory, processors, and transmitters Main driver is high total raw data bandwidth (e.g. 45 Tbit/s) I/O Bandwidth Wall may limit scaling Power 38

Related Presentations Wednesday Influence of Massively Parallel E-Beam Direct Write Pixel Size on Electron Proximity Correction 7970-34 Data path development for multiple electron beam maskless lithography 7930-35 39

Comparison of EUVL and MEB ML2 40

CD Tolerance Considerations CD tol budget Node 22nm 16nm 11nm 8nm Half Pitch (nm) CD (nm) Mask CD tol at 1X (nm) 60% of wafer, MEEF=1.5 Wafer litho CD tol (nm) Wafer non-litho CD tol (nm) 32 22 22 16 16 11 11 8 1.39 1.01 0.69 0.50 6.3% 1.54 0.74 1.12 0.54 0.77 0.37 0.56 0.27 7.0% 3.4% Total EUV CD tol (nm) 2.20 1.60 1.10 0.80 10% Total maskless CD tol (nm) 1.71 1.24 0.85 0.62 7.8% 41

Overlay Considerations Node 22nm 16nm 11nm 8nm CD (nm) Overlay requirement (nm) CD/3 Wafer overlay (nm) single tool Mask edge placement budget (nm) 60% wafer overlay residue Mask flatness contribution allowed (nm) 1/3 of overlay requirement EUV CD contribution to overlay (nm) [CD Tol]/ 2 Maskless CD contribution to overlay (nm) [CD Tol]/ 2 EUV total overlay accuracy (nm) 22 7.3 6.0 16 5.3 4.2 11 3.7 2.9 8 2.7 2.1 Overlay budget 100% 33.3% 27.3% 3.6 2.5 1.8 1.2 16.4% 2.4 1.8 1.2 0.9 11.1% 1.6 1.1 0.8 0.6 7.1% 1.2 0.9 0.6 0.4 5.5% 7.6 5.3 3.7 2.6 34.4% Maskless total overlay accuracy (nm) 6.1 4.3 3.0 2.1 27.8% 42

Defect Considerations MEB EUV Electrostatic chuck if a proprietary non-static chuck is not used Electrostatic chuck Contamination Source debris Wafer processing Mask defects Contamination Wafer processing 43

Throughput Loss at Node Advances MEB EUV 2X due to data volume Use next-node datapath 2X due to shot noise Increase source power 2X due to shot noise Increase parallelism or source brightness 2X due to more mirrors for higher NA Increase source power st 2X due to lower current 1 method for higher resolution Increase parallelism ornd 2 method source brightness 3rd method 1st method 44

Cost Considerations MEB EUV Strong function of tool price and throughput Strong function of tool price and throughput Datapath Atomic-precision optics High power consumption High power, water, hydrogen consumptions Laser pulse, hydrogen, and collector mirror expenses 45

Summary on the Comparison Economy drives 16nm node to EUVL or MEB ML2. EUVL has limited Resolution/MEEF/DOF/Overlay margin for 8nm node. MEB has potential for better CDU and overlay accuracy because of elimination of mask contribution. EUVL needs more source power to compensate for throughput loss per node. MEB needs more parallelism or source brightness to compensate for throughput loss per node. EUVL has higher capital cost and expense. MEB is less developed. 46

Litho Decision Tree MEBML2 + CR-DPT Poly CT CT M0 MEBML2 HVM EUVL Feasible yes acceptable CT M0 no End M0 Cost M1 Poly CR-DPT lowest insignificant difference Absolute cost not acceptable Design restriction 47

End of Presentation 48