Challenges of EUV masks and preliminary evaluation

Similar documents
Progresses in NIL Template Fabrication Naoya Hayashi

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

2009 International Workshop on EUV Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

EUV Lithography Transition from Research to Commercialization

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Update on 193nm immersion exposure tool

Recent Development Activities on EUVL at ASET

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

SEMATECH Defect Printability Studies

Photolithography Technology and Application

Lithography Industry Collaborations

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Lithography. International SEMATECH: A Focus on the Photomask Industry

EUV Interference Lithography in NewSUBARU

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Microlithography XXVIII

Evaluation of Technology Options by Lithography Simulation

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Comparison of actinic and non-actinic inspection of programmed defect masks

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Critical Challenges of EUV Mask Blank Volume Production

EUV Substrate and Blank Inspection

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Process Optimization

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Inspection of templates for imprint lithography

Litho Metrology. Program

Development of Nanoimprint Mold Using JBX-9300FS

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Advanced Patterning Techniques for 22nm HP and beyond

Purpose: Explain the top advanced issues and concepts in

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

for alternating phase shift mask fabrication

Scope and Limit of Lithography to the End of Moore s Law

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Nikon EUVL Development Progress Update

Development of ultra-fine structure t metrology system using coherent EUV source

Registration performance on EUV masks using high-resolution registration metrology

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

EUVL Challenges for Next Generation Devices

Ion Beam Lithography next generation nanofabrication

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

EUVL getting ready for volume introduction

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Defect inspection of imprinted 32 nm half pitch patterns

Major Fabrication Steps in MOS Process Flow

Development of X-ray Tool For Critical- Dimension Metrology

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

Optical Maskless Lithography - OML

(Complementary E-Beam Lithography)

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Mask magnification at the 45-nm node and beyond

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

PERPENDICULAR FILM HEAD PROCESSING PERSPECTIVES FOR AREAL DENSITY INCREASES

EUV lithography: status, future requirements and challenges

Scaling of Semiconductor Integrated Circuits and EUV Lithography

A process for, and optical performance of, a low cost Wire Grid Polarizer

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Progress in full field EUV lithography program at IMEC

Aerial image based mask defect detection in dense array structures

Intel Technology Journal

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

EUV lithography: today and tomorrow

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-beam mask writer MBM-1000 for advanced mask making

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Transcription:

Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1

Contents Recent Lithography Options on Roadmap Challenges for EUV Mask Multi Layer Substrates Defect Free Mask Absorber Delineation (Writing Tools & Processes) Inspection & Repair Metrology With Preliminary Results Summary EUV Mask Workshop 2004 2

Potential Lithography Solutions Technology Node 2004 2007 2010 2013 2016 2019 2003 2005 2006 2008 2009 2011 2012 2014 2015 2017 2018 hp90 hp65 hp45 hp32 hp22 hp16 90 193 nm Technology Options at Technology Nodes (DRAM Half-Pitch, nm) 193nm + LFD 193nm immersion PEL 65 Narrow options 45 193nm immersion + LFD EUV ML2, 157nm immersion, PEL Narrow options 32 EUV 193nm immersion + LFD 157nm immersion + LFD, ML2 Imprint EUV Innovative 157nm or 193 nm immersion 22 ML2 Imprint, innovative technology Narrow options DRAM Half-pitch (dense lines) Narrow options Innovative technology ML2, EUV + RET, imprint Narrow 16 options Research Required Development Underway Qualification/Pre-Production Continuous Improvement This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. EUV Mask Workshop 2004 3

65nm-45nm node lithography/mask options 193nm extension (RET from mask side) Aggressive OPC (ie Scattering Bar of 100nm width) will be inevitable. High transmission att-psm (both embedded shifter type and CLM) with tritone feature is thought as a possible option. Aggressive Alt-PSM adoption.. 193nm immersion lithography There is no major mask related issue for immersion lithography. RET will be accelerated. 157nm Dry and/or Immersion will be the backup for 45nm and beyond. Electron beam lithography LEEPL technology has been focusing on hole pattern for memory devices. Image placement measurement, defect Inspection/repair, are still in development stage. EUV lithography Research & Development for production engineering is required. Especially, defect-free multi layer substrate, inspection/repair, are critical issues. EUV Mask Workshop 2004 4

Current Status and Schedule for EUV Mask Development Current Status Through research activity with consortia, trying to define EUV mask specifications Absorber, buffer/capping layer materials Patterning processes Printable defects, inspection, metrology, etc. Starting to provide evaluation plate 2004/H2. Multilayer substrate will be available from several vendors. Future Plan Investment for production will start 2006 EUV Mask Workshop 2004 5

EUVL mask stacking structure Absorber layer(s) Buffer layer Capping layer Multilayer (about 40 pairs of Mo and Si) Underlayer LTEM Conductive coating EUV Mask Workshop 2004 6

EUVL blank initial test results Tantalum based absorber on Chromium based buffer Etching capability test of 200nm patterns Hole Iso-Space Repair capability test with Micromachining Reference Defect size 160nm Iso-Line L&S After repair Currently, several sets of materials from various blank suppliers are tested to investigate their capability as absorber and buffer. EUV Mask Workshop 2004 7

Cross section profile of TaGeN Dense line Dense hole Isolate line CF4 gas process Cl2 gas process Pattern size 200nm Vertical side wall were obtained in both gas process courtesy by ASET EUV Mask Workshop 2004 8

Etch bias uniformity Exposure tool : 50kV EB Measurement tool : CD-SEM Area size : 122 X 122 mm 2 Pattern : 400 nm Iso-Space CF4 process +5nm -5nm Cl2 process Mean : 2.05nm Max : 9.31nm Min : -4.31nm 3sigma : 9.95nm Mean : -27.3nm Max : -21.62nm Min : -33.71nm 3sigma : 8.36nm EUV Mask Workshop 2004 9

EUV mask reflectivity EUV reflectivity after buffer layer dry etching Absorber layer : CF4 gas process 70 60 Buffer layer : Cl2 + O2 gas process Reflectivity [%] 50 40 30 20 10 0 12.5 13 13.5 14 14.5 Wavelength [nm] Centroid wavelength : 13.54nm Peak reflectivity : 63.8% courtesy by ASET EUV Mask Workshop 2004 10

EUV mask pattern and wafer print result 70nm line and space pattern 5um Mask pattern ( Exposure tool : HiNA set 3) 1um Wafer pattern courtesy by ASET and Nikon EUV Mask Workshop 2004 11

Availability of mask infrastructures EUV Mask Workshop 2004 12

Mask Writing Systems Vendor Systems Writing Strategy Etec Systems MEBES-RSB Variable Shaped E-Beam Etec Systems ALTA-4000 Spot Multi-Laser-Beam JEOL JBX-3030 Variable Shaped E-Beam Hitachi HT HL-7000M Variable Shaped E-Beam Nu Flare EBM-4000 Variable Shaped E-Beam Raster Scan Raster Scan Vector Scan Vector Scan Vector Scan Accelerating 50KeV (257nm) 50KeV 50KeV 50KeV Voltage Max.Substrat 6 inch sq. 6 inch sq. 7 inch sq. 7 inch sq. 230mm sq. e Size Writing Area - 144 x 144 mm - 7 inch x 7 inch 222 x 228.6 mm Min.Feature Size 0.20 um 0.35 um - 0.10 um 0.25 um Min.Addressing 1.0 nm 2.5 nm 1.0 nm 1.0 nm 1.0 nm Pattern Position Accuracy Overlay 12 nm(3 ) 22 nm(3 ) 15 nm(max.) 15 nm(3 ) 12 nm(3 ) 8 nm(3 ) 15 nm(3 ) - - 10 nm(3 ) Accuracy Butting Error 10 nm(mean+r/2) 10 nm (Mean+R/2) 15 nm(max.) 10 nm(mean+3 ) - CD Accuracy 7 nm ( 3 ) 12 nm (Range/2) 8 nm(3 ) 8 nm(3 ) 7 nm(3 ) (Global Unif.) Remarks 2 Pass Writing 4 Pass Writing 2 Pass Writing 2 Pass Writing 4 Pass Writing EUV Mask Workshop 2004 13

Resist material vs. Resolution Resist SEM Image (minimum resolution) Isolated space 80nm 40nm 40nm 35nm Dense space 100nm 70nm 55nm 75nm Hole 120nm 90nm 65nm 65nm Resist Thickness CAR_A 400nm CAR_A 100nm CAR_B 100nm Non-CAR_A 300nm EUV Mask Workshop 2004 14

Mask Inspection Systems Syetem MD3000 LM7000 LM7000B SLF87 KLA575(576) DUV Aera193 Maker Lasertec NEC NEC KLA-Tencor KLA-Tencor AMAT Mode D/D (Cell shift) D/B, D/D D/B, D/D D/B, D/D, SL D/B, D/D Illumination for inspection Wavelength [nm] Trans only Trans only Trans and Reflect Trans and Reflect Trans, Reflect D/D, (D/M) (Aerial Image) Trans only 248 266 266 365 257 193 Pixel size [nm] 125 100 100 150 125 (90) 150 Sensitivity [nm] 100 (80) 100 80 100 80 (70) 10%CD@wafer Min. Line width [nm] Scan Time [min] 100mm sq. 300 400 280 400 B225/W255 (B180/W200) Not specified 120 122 195 74 90 (175) 120 EUV Mask Workshop 2004 15

Absorber layer defect repair Evaluated repair technique FIB-GAE (Gas Assist Etching) AFM Machining FIB-GAE Ga + beam AFM Machining AFM tip (Diamond) Cr XeF2 gas Substrate TaGeN Cr Substrate TaGeN EUV Mask Workshop 2004 16

SEM images of AFM machining defect repair results Before buffer layer dry etching Reference 1um line and space Defect pattern size 0.5 um TEST 1-1 X bias 40nm Z bias 5nm TEST 1-3 X bias 0nm Z bias 5nm TEST 1-2 X bias 20nm Z bias 5nm TEST 2-2 X bias 20nm Z bias 0nm EUV Mask Workshop 2004 17

Mask Topography Measurement with CD-AFM Differences Between 1D and 2D SFM Scan direction Servo direction Scan direction Servo direction EUV Mask Workshop 2004 18

Summary Mask technology development for EUV has been establishing with Consortia at feasibility study stage. Most of current infrastructures and technologies have been adapting for preliminary evaluation of EUV mask making. Ta based absorber material has been patterned successfully. Various repair technologies for absorber pattern has been evaluating. Issues are Quality of substrate material (Specifications??) Improvement of mask quality (CD, etc.) Inspection & repair Cleaning and mask handling What do you really want?! Need feed back from exposure result to define realistic specifications. Printable defects, flatness, surface treatment, etc. EUV Mask Workshop 2004 19