Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Similar documents
immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

From ArF Immersion to EUV Lithography

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Update on 193nm immersion exposure tool

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Microlithography XXVIII

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Synthesis of projection lithography for low k1 via interferometry

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Process Optimization

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Reducing Proximity Effects in Optical Lithography

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

What s So Hard About Lithography?

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Challenges of EUV masks and preliminary evaluation

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Lithography on the Edge

2009 International Workshop on EUV Lithography

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Mask magnification at the 45-nm node and beyond

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Maskless Lithography - OML

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Progress in full field EUV lithography program at IMEC

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Advanced Patterning Techniques for 22nm HP and beyond

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Optolith 2D Lithography Simulator

EUVL getting ready for volume introduction

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

MICROCHIP MANUFACTURING by S. Wolf

Imaging for the next decade

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Evaluation of Technology Options by Lithography Simulation

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Intel Technology Journal

16nm with 193nm Immersion Lithography and Double Exposure

Mirror-based pattern generation for maskless lithography

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

(Complementary E-Beam Lithography)

Business Unit Electronic Materials

Photolithography Technology and Application

Major Fabrication Steps in MOS Process Flow

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Key Photolithographic Outputs

Purpose: Explain the top advanced issues and concepts in

OPC Rectification of Random Space Patterns in 193nm Lithography

A process for, and optical performance of, a low cost Wire Grid Polarizer

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

EUV Interference Lithography in NewSUBARU

Lithography. International SEMATECH: A Focus on the Photomask Industry

Optical Maskless Lithography (OML) Project Status

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Double Exposure Using 193nm Negative Tone Photoresist

Experimental measurement of photoresist modulation curves

i- Line Photoresist Development: Replacement Evaluation of OiR

Comparison of actinic and non-actinic inspection of programmed defect masks

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Lecture 5. Optical Lithography

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Beyond Immersion Patterning Enablers for the Next Decade

Optimizing FinFET Structures with Design-based Metrology

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Transcription:

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML

Outline A new paradigm for lithography development DoF trends and likely future Experimental Immersion Litho Imaging Results Immersion Challenges Conclusions 2 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

The NGL Race - Who will make it to the top? EUV EPL Immersion Is Maskless?? 3 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Lithography development as a bicycle race Takes lots of money and effort Overall winning lithography technology must survive many stages Need not win every individual stage! Need both talented individuals and cohesive team to win AMD moving aggressively with this paradigm 4 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

5 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004 01/22/04 Lance Armstrong and the USPS Pro Cycling Team Choose AMD as Technology Sponsor

Immersion Lithography Two Stages NA < 1 NA > 1 Immersion Increases DoF (NA remains same as dry tools) Immersion Increases Resolution Historical lithography evolution applies: What possibilities are enabled compared to dry? Better CD Uniformity Less design restrictions Compared to the current litho-solution, we will get better resolution & lower DoF Simpler masks/ret Better usable resolution? 6 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

First Immersion Systems DoF Increases Aerial Image Measurement (TIS) DRY 7 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

First Immersion Systems DoF Increases Aerial Image Measurement (TIS) Immersion 8 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Future Systems Increased Resolution & NA 9 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Future Systems Increased Resolution & NA 10 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

DoF trends for state-of-the-art Chip Production tools 100000 DoF [nm] 10000 1000 436 365 248 193 193 wet 100 1980 1990 2000 2010 Year 11 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

100000 DoF trends for state-of-the-art Chip Production tools DoF [nm] 10000 1000 436 365 248 193 193 wet 100 10 100 1000 10000 Half-pitch resolution [nm] 12 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Immersion Prototype AT1150i 13 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Imaging Performance of Immersion Scanner Experimental Conditions Exposure System: Full-field scanning immersion prototype (AT1150i) Stage speed: 360 mm/sec Wavelength = 193nm NA = 0.75 4x reduction. Reticle: Alternating-PSM and attenuated-psm Substrate: 300mm Si wafers Materials Stack: ARC: 37nm Resist: 175nm Top-Coat: 41nm 14 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Imaging with Immersion Process Window Improvements 15 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

First IBM Immersion Images Mask: Att-PSM NA = 0.75 Illum: Quasar 117 nm 110nm/220nmpitch 117 nm 110nm/265nmpitch 16 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

altpsm Dry vs. Wet FEM Pitch 250nm, 60nm target DRY WET Critical Dimension (nm) Critical Dimension (nm) Fitted Bossung Curves - Reduced Chi2 = 0.5 100 90 80 70 60-0.2 0 0.2 0.4 Focus (um) Fitted Bossung Curves - Reduced Chi2 = 1.8 110 100 90 80 70 60-0.4-0.2 0 0.2 0.4 Focus (um) Exposure Latitude (%) 12.5 44% DOF increase at 5% exposure latitude 40% increase in total window 15 10 7.5 5 2.5 Process Window DRY WET 0 0 0.1 0.2 0.3 0.4 0.5 DOF (microns) 17 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Theoretical Comparison of Wet and Dry DOF 4 Simplified theoretical treatment Quarter wave OPD, like Rayleigh DOF 2-beam e.g. alt PSM 3-beam e.g. att PSM Biggest improvements appear at smallest grating pitch Wet DOF / Dry DOF 3.5 3 2.5 2 1.5 Wet/Dry Ratio for 193nm Lithography 3beam wet/dry 2beam wet/dry 1 100 200 300 400 Grating Pitch [nm] 18 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Contact Hole Imaging Improvements (130nm Iso) 6 % attenuated Mask, NA/σ=0.63/0.4, 225nm TArF7047 on ARC28 Dry F-0.3 F0.2 Focus [µm] F0.0 F0.50-0.7-0.6-0.4-0.2 0.0 0.2 0.4 0.6 0.7 F-0.4 F0.0 F0.3 F0.0 Wet F0.4 DOF: DOF: 1.2 1.2 µm µm > 2x 2x larger than than dry dry equivalent 19 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Imaging with Immersion CD Uniformity Improvements 20 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Measurement of CD Uniformity Through Focus Dose 10mm 10mm Focus 19X21 chip array exposure measured not measured 10x10mm chips 16 CD-unif. sites per chip Total 147 chips measured 2,352 measurements/wafer 21 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Methodology for Analysis 10mm 10mm 1- Measure 16 sites per chip (pitch = 250nm) 2- Obtain FEM for each of the 16 sites 3- Build model with measured FEM data 4- Use model to calculate CD-variation in the presence of focus errors and offsets. 95 90 Sample fitted FEM for Monte Carlo Model Experiment Sample experimental FEM with corresponding model Printed CD (nm) (nm) 85 80 75 70 65 22 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004 60 0.1 0.2 0.3 0.4 0.5 0.6 Focus (um) Focus (µm)

Contour CD Uniformity Maps as a Function of Mean Focus and Focus Errors DRY nm 20 WET nm 20 Focus Errors (3σ, µm) 0.25 0.2 0.15 0.1 0.05 15 10 5 Focus Errors (3σ, µm) 0.25 0.2 0.15 0.1 0.05 15 10 5 0-0.2-0.1 0 0.1 0.2 Mean Focus (µm) 0 0-0.2-0.1 0 0.1 0.2 Mean Focus (µm) 0 Immersion provides better CD uniformity in the presence of Focus Errors and Mean Focus Deviations 23 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Contour CD Variation Line-Plots as a Function of Mean Focus and Focus Errors CD ACLV Variation (3σ, (3σ, nm) nm) 45 40 35 30 25 20 15 10 DRY DRY 0µ m (3σ) 0.1µm (3σ) 0.15µ m (3σ) 0.20µ m (3σ) 0.30µ m (3σ) CD ACLV Variation (3σ, (3σ, nm) nm) 45 40 35 30 25 20 15 10 WET WET 0µ m (3σ) 0.1µ m (3σ) 0.15µ m (3σ) 0.20µ m (3σ) 0.30µ m (3σ) 5 5 0-0.2-0.1 0 0.1 0.2 Mean Focus (µm) 0-0.2-0.1 0 0.1 0.2 Mean Focus (µm) 24 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

CD Uniformity Control vs Focus Errors CD Variation (3σ, nm) 16 14 12 10 8 6 4 CD Variation vs. Focus Errors dry wet Percent Improvement (%) % CD-Control Improvement w/ Immersion 100 80 60 40 20 2 0 0 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 Focus Errors (σ, µ m) 0 0.1 0.2 0.3 Focus Errors (σ, µm) 25 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Imaging with Immersion Can we reduce RET complexity? 26 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Immersion vs. Dry Assist Performance Simulation 40 70nm Isolated Line 10S PC lithography with att PSM Conclusion Immersion is roughly as effective as SRAF in achieving focus tolerance Error Assumptions : Focus: varied Dose: 3% ( 3σ ) Mask CD: 12nm ( 3σ @ 4X ) Imaging: Water Immersion (n=1.4366) ArF, 0.75NA System Annular illumination Att PSM CD variation [3 sigma in nm] 35 30 25 20 15 10 5 0 SRAF dry No SRAF dry SRAF wet No SRAF wet 0 100 200 300 400 500 Focus variation [3 sigma in nm] 27 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Immersion vs. Dry Assist Performance Experimental Data 110 100 Isolated Line Through Focus Immersion no assists Dry no assists 110 100 Isolated Line Through Focus Immersion w/ assists Dry w/ assists SEM CD (nm) 90 80 70 SEM CD (nm) 90 80 70 60 60 50-0.4-0.3-0.2-0.1 0 0.1 0.2 0.3 0.4 Focus (µm) 110 100 Isolated Line Through Focus Immersion no assists Dry w/ assists 50-0.4-0.3-0.2-0.1 0 0.1 0.2 0.3 0.4 Focus (µm) SEM CD (nm) 90 80 70 60 50-0.4-0.3-0.2-0.1 0 0.1 0.2 0.3 0.4 Focus (µm) 28 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Immersion: Expanded Design Options CD Variation Comparison Dry/Wet K1 0.7 0.65 0.6 0.55 0.5 0.45 0.4 0.35 0.3 Litho Trend Change k1 NA NA_i 1 0.95 0.9 0.85 0.8 0.75 0.7 0.65 0.6 NA CD Variation (nm) Mask/focus/dose variation 0.25 130 90 65 45 0.55 tech node Pitch (nm) Effective lower NA provides less restriction in design space 29 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Challenges Ahead 30 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Immersion To-Do List Tooling development First production-worthy manufacturing tools Track (new process requirements) Immersion defects (YIELD) Bubbles Particles Processing defects Imaging resist materials dev. Novel top-coats Novel resists/compatibility Leaching and contamination Image quality Process window (DoF improvements) CD Uniformity, simpler RET, DFM Overlay, image placement Modeling High-NA imaging for future immersion systems. Index fluid development Purity and contamination control High-index fluids (for higher resolution) 31 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Bubbles Yes, They do Exist! Sources of bubble formation: Exposure tool Topographic steps between wafer and stage Appropriate fluid flow to prevent cavitation Adequate degassing Surface characteristics of imaging material Minimize outgassing Hydrophobic vs. hydrophilic Imaging effect of a micro-bubble 2 µm Impact of bubbles on imaging: Extent of effect depends on bubble size, location & density. If bubble ~ size of λ Scattering (flare) If bubble >> λ Micro-uniformity effects Pattern magnified by the lower optical path in the bubble 32 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Particles Clean-air vs. clean-water control (state-of-the-art): Air vs. Water Filtration: Meas. Criteria: Particles > 0.1 µm Water is ~ 2,800 time worse Water is ~1000x more dense than air More fluid momentum for particulate transport Evaporation: How to deposit 6,000 100nm-particles on a 300mm wafer: DI water with 100ppt of Silica (not uncommon) Leave 1 µm layer of water left behind Liquid containment method can cause particle formation. 33 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Imaging Materials Challenges Top-coats (if required for immersion): New top-coats must be developed that are nonwater soluble Removal of top-coat needs to be defect free. Water-proof? Solvent strippable Not all 193nm resists work for immersion! Dry exposures exhibit square profiles Water-resistant? Aqueous developer strippable Resist: Resist component extraction should be minimized Loss of CD control Induce lens degradation Absorption of water Minimize LER 1 µm 193nm resist imaged w/ water presoak Low Blur Resists for sub 45 nm resolution Development of high index resists for ultra high NA imaging tools 34 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Water/Imaging Layer Interactions Water Contamination (Leaching) Sub ppm levels of resist components detected at long contact times (60 sec) Water Uptake of 193nm Resist by visible reflectance spectroscopy Thickness = 200 nm Resist Contamination (Water absorption) ppth levels water absorption measured in resists at < 10 sec times intervals What levels of Leaching and Absorption are acceptable for both imaging performance and lens preservation? 35 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

The Challenge of Defects No lithography technology is production worthy until it demonstrates manufacturable defect levels Typically takes several years and cycles of learning 193nm litho: at least 3 years from first beta tool to good wafers out-the-door Learning requires tooling located in a clean environment with integrated tracks. Why so difficult? Modeling random defects is not well understood Statistics of defect measurement requires lots of data Are defects a problem? We will know next year 36 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Conclusions Immersion litho is real, and is here to stay. Simulations and experiments indicate DOF improvement even better than index of water Better CD uniformity through focus Great for device performance and yield! Immersion can simplify RET choices Roughly as effective as SRAF for DOF Less design restrictions Active experimentation in progress. Focus should be on defects & materials development Materials development will be key: Non-water soluble top-coats need to be developed. Resist needs to be optimized for low-defect imaging. DI water quality is very important. 193 immersion litho equipment making very rapid progress: Early tools, suitable for development available this year. Manufacturing tools to be available in 2005. 37 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004

Acknowledgements At IBM: Mike Lercel, George Gomba Carl Larson, Greg Wallraff, Bill Hinsberg & Bob Allen At ASML: Remco Rombeek, Martin Chaplin, Gerard van Reijen & Hans Jansen 38 Intnl. Symposium on Immersion & 157 Lithography, Vancouver, Aug. 2004