Chapter 6. Photolithography

Similar documents
Device Fabrication: Photolithography

Chapter 6 Photolithography

Photolithography Technology and Application

Part 5-1: Lithography

Major Fabrication Steps in MOS Process Flow

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Photolithography I ( Part 1 )

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Photolithography II ( Part 2 )

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Lecture 13 Basic Photolithography

EE 143 Microfabrication Technology Fall 2014

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Semiconductor Technology

Contrast Enhancement Materials CEM 365HR

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2

Module - 2 Lecture - 13 Lithography I

Contrast Enhancement Materials CEM 365iS

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Micro/Nanolithography

MICROCHIP MANUFACTURING by S. Wolf

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Process Optimization

Optical Issues in Photolithography

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

Module 11: Photolithography. Lecture11: Photolithography - I

Lecture 8. Microlithography

i- Line Photoresist Development: Replacement Evaluation of OiR

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

AZ 1512 RESIST PHOTOLITHOGRAPHY

Chapter 3 Fabrication

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

T in sec, I in W/cm 2, E in J/cm 2

Lecture 5. Optical Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

KMPR 1010 Process for Glass Wafers

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

DOE Project: Resist Characterization

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

State-of-the-art device fabrication techniques

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

Photolithography 光刻 Part I: Optics

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Newer process technology (since 1999) includes :

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Optical Requirements

EE-527: MicroFabrication

Update on 193nm immersion exposure tool

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Photolithography Module

A review on contemporary practices in Lithography

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

From ArF Immersion to EUV Lithography

Micro- and Nano-Technology... for Optics

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

A process for, and optical performance of, a low cost Wire Grid Polarizer

2 Integrated Circuit Manufacturing:

Optical Microlithography XXVIII

(ksaligner & quintel resolution)

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

CHARACTERIZATION OF THE IMPACT OF SCATTERED LIGHT AND POWER ILLUMINATION NON UNIFORMITY ON SEMICONDUCTOR PROCESSES

The Development of Device Lithography

Optolith 2D Lithography Simulator

Application Bulletin 240

Fabrication Techniques of Optical ICs

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Chapter 2 Silicon Planar Processing and Photolithography

EUV Substrate and Blank Inspection

Micro- and Nano-Technology... for Optics

From Sand to Silicon Making of a Chip Illustrations May 2009

MICROLITHOGRAPHY 2004

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Intel Technology Journal

Transcription:

Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment and exposure systems Describe the wafer movement in a track-stepper integrated system. Explain relationships of resolution and depth of focus to wavelength and numerical aperture. 2006/4/10 2 1

Photolithography is : Introduction Temporarily coat photoresist on wafer and Transfers designed pattern to photoresist Most important process in IC fabrication To consume 40 to 50% total wafer process time Determines the minimum feature size, e.g. 0.18um technology in 2000, 70nm technology in 2004 2006/4/10 3 Applications of Photolithography Main application: IC patterning process Other applications: Printed electronic board, nameplate, printer plate, and et al. 2006/4/10 4 2

IC Fabrication Flow e-beam or Photo Mask or EDA PR Chip Reticle Photolithography Ion Implant Etch EDA: Electronic Design Automation PR: Photoresist 2006/4/10 5 Photolithography Requirements High Resolution High PR Sensitivity Precision Alignment, say within 10% of minimum feature size Precise Process Parameters Control Low Defect Density 2006/4/10 6 3

Lithography for Future Technology 2006/4/10 7 Photoresist Photo sensitive material, sensitive to ultraviolet (UV) but to visible light It s why we use yellow light to illuminate and call yellow room Transfer design image on it through exposure and development Very similar to the photo sensitive coating on the film for camera Positive and negative types 2006/4/10 8 4

Photoresist Negative Photoresist Becomes insoluble after exposure When developed, the unexposed parts dissolved. Cheaper with poor resolution Positive Photoresist Becomes soluble after exposure, (photosolubilization) When developed, the exposed parts dissolved Expensive with better resolution 2006/4/10 9 Negative and Positive Photoresists Photoresist Mask/reticle Photoresist Negative Photoresist Positive Photoresist Substrate Substrate Substrate Substrate UV light Exposure After Development 2006/4/10 10 5

Photoresist Composition Polymer Solvents Sensitizers Additives 2006/4/10 11 Polymer Solid organic material Transfers designed pattern to wafer surface Changes solubility due to photochemical reaction when exposed to UV light. Positive PR: from insoluble to soluble Negative PR: from soluble to insoluble 2006/4/10 12 6

Solvent Dissolves polymers into liquid Allow application of thin PR layers by spinning 75% of PR before spin coating Acetate-type solvent for positive PR; xylene (C 8 H 10 ) for negative PR 2006/4/10 13 Sensitizers Controls and/or modifies photochemical reaction of resist during exposure. Determines exposure time and intensity Additives Various added chemical to achieve desired process results, such as dyes to reduce reflection. 2006/4/10 14 7

Negative Resist Most negative PR are polyisoprene type Exposed PR becomes cross-linked polymer Cross-linked polymer has higher chemical etch resistance. Unexposed part will be dissolved in development solution. 2006/4/10 15 Negative Photoresist Negative Photoresist Mask Expose Development 2006/4/10 16 8

Disadvantages Negative Photoresist Polymer absorbs the development solvent Poor resolution due to PR swelling Environmental and safety issues due to the main solvents xylene. 2006/4/10 17 Comparison of Photoresists PR Film Substrate + PR Film Substrate 2006/4/10 18 9

Positive Photoresist Exposed part dissolve in developer solution Image the same that on the mask Higher resolution Commonly used in advanced IC fabs 2006/4/10 19 Question Positive photoresist can achieve much higher resolution than negative photoresist, why didn t people use it before the 1980s? Positive photoresist is much more expensive therefore negative photoresist was used until it had to be replaced when the minimum feature size was shrunk to smaller than 3 m. 2006/4/10 20 10

Chemically Amplified Photoresists To pattern a small feature, a shorter wavelength light source is required For deep ultraviolet (DUV), 248 nm or 193 nm Light source: excimer lasers Light intensity is lower than I-line (365 nm) or G- line (436 nm) from high-pressure mercury lamp Need different kind of photoresist 2006/4/10 21 Chemically Amplified Photoresists Catalysis effect is used to increase the effective sensitivity of the photoresist A photo-acid is created in PR when it exposes to DUV light During PEB, head-induced acid diffusion causes amplification in a catalytic reaction Acid removes protection groups Exposed part will be removed by developer 2006/4/10 22 11

Requirement of Photoresist High resolution Thinner PR film has higher the resolution Thinner PR film, the lower the etching and ion implantation resistance High etch resistance Good adhesion Wider process latitude Higher tolerance to process conditions like spin rate, baking temperature and exposure flux 2006/4/10 23 Photoresist Physical Properties Photoresist must be able to withstand process conditions Coating, spinning, baking, developing. Etch resistance Ion implantation blocking 2006/4/10 24 12

Photoresist Performance Factors : Resolution Adhesion Expose rate, Sensitivity and Exposure Source Process latitude Pinholes Particle and Contamination Levels Step Coverage Thermal Flow 2006/4/10 25 Resolution Capability The smallest opening or space that can produced in a photoresist layer. Related to particular processes including expose source and developing process. Thinner layer has better resolution. Etch and implantation barrier and pinhole-free require thicker layer Positive resist has better resolution due to the smaller size of polymer. 2006/4/10 26 13

Photoresist Characteristics Summary Parameter Negative Positive Polymer Polyisoprene Novolac Resin Photo-reaction Polymerization Photo-solubilization Sensitizer Provide free radicals for polymer crosslink Additives Dyes Dyes Changes film to base soluble 2006/4/10 27 Photolithography Process 2006/4/10 28 14

Basic Steps of Photolithography 1. Photoresist coating 2. Alignment and exposure 3. Development 2006/4/10 29 Basic Steps, Old Technology Wafer clean Dehydration bake Spin coating primer and PR Soft bake Alignment and exposure Development Pattern inspection Hard bake PR coating Development 2006/4/10 30 15

Basic Steps, Advanced Technology Trackstepper integrated system Wafer clean Pre-bake and primer coating Photoresist spin coating Soft bake Alignment and exposure Post exposure bake Development Hard bake Pattern inspection PR coating Development 2006/4/10 31 Wafer Clean Gate Oxide STI Polysilicon P-Well USG 2006/4/10 32 16

Pre-bake and Primer Vapor Primer STI Polysilicon P-Well USG 2006/4/10 33 Primer Photoresist Coating STI Photoresist Polysilicon P-Well USG 2006/4/10 34 17

Soft Bake STI Photoresist Polysilicon P-Well USG 2006/4/10 35 Alignment and Exposure Gate Mask STI Photoresist Polysilicon P-Well USG 2006/4/10 36 18

Alignment and Exposure Gate Mask STI Photoresist Polysilicon P-Well USG 2006/4/10 37 Post Exposure Bake STI Photoresist Polysilicon P-Well USG 2006/4/10 38 19

Development STI PR Polysilicon P-Well USG 2006/4/10 39 Hard Bake STI PR Polysilicon P-Well USG 2006/4/10 40 20

Pattern Inspection STI PR Polysilicon P-Well USG 2006/4/10 41 Wafer Clean Remove contaminants Remove particles Reduce pinholes and other defects Improve photoresist adhesion Basic steps Chemical clean Rinse Dry 2006/4/10 42 21

Photolithography Process, Clean Older ways High-pressure nitrogen blow-off Rotating brush scrubber High-pressure water stream 2006/4/10 43 Wafer Clean Process Chemical Clean Rinse Dry 2006/4/10 44 22

Photolithography Process, Prebake Dehydration bake Remove moisture from wafer surface Promote adhesion between PR and surface Usually around 100 C Integration with primer coating 2006/4/10 45 Photolithography Process, Primer Promotes adhesion of PR to wafer surface Wildly used: Hexamethyldisilazane (HMDS) HMDS vapor coating prior to PR spin coating Usually performed in-situ with pre-bake Chill plate to cool down wafer before PR coating 2006/4/10 46 23

Pre-bake and Primer Vapor Coating Prep Chamber Primer Layer Wafer HMDS Vapor Wafer Hot Plate Dehydration Bake Hot Plate Primer Vapor Coating 2006/4/10 47 Wafer Cooling Wafer need to cool down Water-cooled chill plate Temperature can affect PR viscosity Affect PR spin coating thickness 2006/4/10 48 24

Spin Coating Wafer sit on a vacuum chuck Rotate at high speed Liquid photoresist applied at center of wafer Photoresist spread by centrifugal force Evenly coat on wafer surface 2006/4/10 49 Photoresist Spin Coater Wafer PR EBR Drain Vacuum Chuck Exhaust Water Sleeve 2006/4/10 50 25

Viscosity Fluids stick on the solid surface Affect PR thickness in spin coating Related to PR type and temperature Need high spin rate for uniform coating 2006/4/10 51 Relationship of Photoresist Thickness to Spin Rate and Viscosity Thickness (mm) 3.5 3.0 2.5 2.0 1.5 1.0 0.5 100 cst 50 cst 27 cst 20 cst 10 cst 5 cst 0 2k 3k 4k 5k 6k Spin Rate (rpm) 7k 2006/4/10 52 26

Dynamic Spin Rate Spin rate Time 2006/4/10 53 PR Spin Coater Photoresist spread on spinning wafer surface Wafer held on a vacuum chuck Slow spin ~ 500 rpm Ramp up to ~ 3000-7000 rpm 2006/4/10 54 27

Photoresist Applying PR dispenser nozzle Wafer To vacuum pump Chuck Spindle 2006/4/10 55 Photoresist Suck Back PR suck back PR dispenser nozzle Wafer Chuck Spindle To vacuum pump 2006/4/10 56 28

Photoresist Spin Coating PR suck back PR dispenser nozzle Wafer Chuck Spindle To vacuum pump 2006/4/10 57 Photoresist Spin Coating PR suck back PR dispenser nozzle Wafer Chuck Spindle To vacuum pump 2006/4/10 58 29

Edge Bead Removal (EBR) PR spread to the edges and backside PR could flakes off during mechanical handling and causes particles Front and back chemical EBR 2006/4/10 59 Edge Bead Removal Solvent Wafer Chuck Spindle To vacuum pump 2006/4/10 60 30

Optical Edge Bead Removal After alignment and exposure Front-side wafer edge expose (WEE) Exposed photoresist at edge dissolves during development 2006/4/10 61 Optical Edge Bead Removal Photoresist Wafer Spindle Chuck 2006/4/10 62 31

Developer Spin Off Edge PR removed Patterned photoresist Wafer Chuck Spindle To vacuum pump 2006/4/10 63 Soft Bake Evaporating most of solvent (> 80%) in PR Solvents help to make a thin PR but absorb radiation and affect adhesion Soft baking time and temperature are determined by PR types and specific process 90~110 C for 30 min. in oven; 10~15 min. for hotplate Over bake: polymerized, less photo-sensitivity Under bake: affect adhesion and exposure 2006/4/10 64 32

Baking Tools Wafer Heater Heated N 2 Photoresist MW Source Wafers Chuck Vacuum Heater Wafer Vacuum Hot plate Convection oven Microwave oven 2006/4/10 65 Hot Plates Widely used in the industry Back side heating, no surface crust In-line track system Wafer Heater 2006/4/10 66 33

Wafer Cooling Need to cool down to ambient temperature after baking Water-cooled chill plate Silicon thermal expansion rate: 2.5 10 6 / C For 8 inch (200 mm) wafer, 1 C thermal change causes 0.5 m difference in diameter 2006/4/10 67 Alignment and Exposure Most critical process for IC fabrication Most expensive tool (stepper) in an IC fab. Most challenging technology Determines the minimum feature size Currently 0.18 m and pushing to 0.13 m 2006/4/10 68 34

Alignment and Exposure Tools Contact printer Proximity printer Stepper 2006/4/10 69 Contact Printer Simple equipment. Widely used before mid- 70s Resolution: capable for sub-micron Use of UV light source Image ratio 1:1 Direct mask-wafer contact, limited mask lifetime Particle contamination issue 2006/4/10 70 35

Contact Printer Light Source Lenses Mask Photoresist Wafer 2006/4/10 71 Proximity Printer 10 ~ 20 m distance from wafer surface. No direct contact Use of UV light Image ratio 1:1 Less particles and longer mask lifetime Resolution: > 2 m 2006/4/10 72 36

Proximity Printer Light Source Lenses Mask Photoresist Wafer ~10 m 2006/4/10 73 Stepper Most popular used photolithography tool in the advanced IC fabs Reduction of wafer image gives high resolution Use of deep UV light Reticle-to-wafer ratio ~ 10:1 A reticle with 1.25 m min. feature size say can achieve 0.125 m min. feature size on wafer Very expensive! (extremely complicated and precise) 2006/4/10 74 37

Step-&-Repeat Alignment/Exposure Light Source Projection Lens Reticle Projection Lens Wafer Wafer Stage 2006/4/10 75 Step&Repeat Alignment System Light Source Reference Mark Interferometer Laser Reticle Stage Alignment Laser Reticle Projection Lens Wafer X 2006/4/10 76 Y Wafer Stage Interferometer Mirror Set 38

Q & A Q : Why does the 5:1 shrink ratio is more popular than the 10:1 shrink ratio? A : 10:1 image shrink has better resolution than 5:1 image shrink. However, it only exposes a quarter of the area, which means total exposure time will be quadrupled. A trade-off between resolution and throughput. 2006/4/10 77 Comparison of exposure systems 2006/4/10 78 39

Propagation of a wave 2006/4/10 79 Light Intensity of a contact exposure system 2006/4/10 80 40

Exposure Light Source Should have : Short wavelength High intensity Stability Includes : High-pressure mercury lamp Excimer laser 2006/4/10 81 Spectrum of the Mercury Lamp Intensity (a.u) Deep UV (<260) I-line (365) H-line (405) G-line (436) 300 400 500 600 Wavelength (nm) 2006/4/10 82 41

Photolithography Light Sources Name Wavelength (nm) Application feature size ( m) G-line 436 0.50 Mercury Lamp H-line 405 I-line 365 0.35 to 0.25 XeF 351 XeCl 308 Excimer Laser KrF (DUV) 248 0.25 to 0.15 ArF 193 0.18 to 0.13 Fluorine Laser F 2 157 0.13 to 0.1 2006/4/10 83 Exposure Control Exposure light flux is controlled by production of light intensity and exposure time Very similar to the exposure of a camera Intensity controlled by electrical power Adjustable light intensity Routine light intensity calibration is required. Intensity, I, measured in mw/cm 2 2006/4/10 84 42

Standing Wave Effect Interference of the incident and reflection lights Due to constructive and destructive interference at different depth Periodically overexposure and underexposure Affects photolithography resolution. 2006/4/10 85 Standing Wave Intensity Light Intensity Average Intensity Constructive Interference, Overexpose Destructive Interference, Underexpose Surface the of PR /n PR Surface of the substrate 2006/4/10 86 43

Standing Wave Effect on Photoresist /n PR Photoresist Substrate Overexposure Underexposure 2006/4/10 87 An Pattern Example resulting from Standing Wave Effect 2006/4/10 88 44

Post Exposure Bake (PEB) Photoresist s glass transition temperature, T g Baking temperature is higher than T g Induce thermal movement of photoresist molecules Rearrangement of the overexposed and underexposed PR molecules Average out standing wave effect, Smooth PR sidewall and improve resolution 2006/4/10 89 PEB (cont.) For DUV chemical amplified photoresist, PEB provides the heat needed for acid diffusion and amplification. After the PEB process, the images of the exposed areas appear on the photoresist, due to the significant chemical change after the acid amplification 2006/4/10 90 45

Post Exposure Bake Steps PEB normally uses hot plate at 110 to 130 C for about 1 minute. For the same kind of PR, PEB usually requires a higher temperature than soft bake. Insufficient PEB will not completely eliminate the standing wave pattern, Overbaking will cause polymerization and affects photoresist development 2006/4/10 91 Development Developer solvent dissolves the softened part of photoresist Transfer the pattern from mask or reticle to photoresist Three basic steps: Development Rinse Dry 2006/4/10 92 46

Development: Immersion Develop Rinse Spin Dry 2006/4/10 93 Development to make etch or implantation perfect Mask PR Film Substrate PR Coating PR Film Substrate Exposure PR Substrate Etching Film PR Film Substrate Development 2006/4/10 94 47

Development Profiles PR PR Substrate Normal Development Substrate Incomplete Development PR Substrate Under Development PR Substrate Over Development 2006/4/10 95 Developer Solutions Positive PR Negative PR Developer TMAH Xylene Rinse DI Water n-butylacetate 2006/4/10 96 48

Hard Bake Evaporating all solvents in PR Improving etch and implantation resistance Improve PR adhesion with surface Polymerize and stabilize photoresist PR flow to fill pinhole 2006/4/10 97 PR Pinhole Fill by Thermal Flow Pinhole PR PR Substrate Substrate 2006/4/10 98 49

Hard Bake (cont.) Hot plate is commonly used Can be performed in a oven after inspection Hard bake temperature: 100 to 130 C Baking time is about 1 to 2 minutes Hard bake temperature normally is higher than the soft bake temperature for the same kind of photoresist 2006/4/10 99 Improper Hard Bake Under-bake Photoresist is not filly polymerized High photoresist etch rate Poor adhesion Over-baking PR flow and bad resolution 2006/4/10 100 50

Photoresist Flow Over-baking can causes too much PR flow, which affects photolithography resolution. PR Substrate Normal Baking PR Substrate Over Baking 2006/4/10 101 Pattern Inspection Inspection, stripped PR and rework Photoresist pattern is temporary Etch or ion implantation pattern is permanent. Photolithography process can rework Can t rework after etch or implantation. Scanning electron microscope (SEM) for small feature size (< 0.5 um) Optical microscope for large feature size 2006/4/10 102 51

Q & A Why can t optical microscope be used for the 0.25 m feature inspection? Because the feature size (0.25 m = 2500 Å) is smaller than the wavelength of the visible light, which is from 3900 Å (violet) to 7500 Å (red).. 2006/4/10 103 Pattern Inspection Overlay or alignment run-out, run-in, reticle rotation, wafer rotation, misplacement in X-direction, and misplacement in Y-direction Critical dimension (CD) loss Surface irregularities such as scratches, pin holes, stains, contamination, etc. 2006/4/10 104 52

Misalignment Cases Run-out Run-in Reticle rotation Wafer rotation Misplacement in x-direction Misplacement in y-direction 2006/4/10 105 Critical Dimension PR Substrate PR Substrate PR Substrate Good CD CD Loss Sloped Edge 2006/4/10 106 53

SEM images of well-developed PR lines 2006/4/10 107 Future Trends Smaller feature size Higher resolution Reducing wavelength Phase-shift mask 2006/4/10 108 54

Optical Lithography Optics Light diffraction Resolution (R) Depth of focus (DOF) 2006/4/10 109 Light Diffraction Without Lens Diffracted light Mask Intensity of the projected light 2006/4/10 110 55

Diffraction Reduction Short wavelength waves have less diffraction Optical lens can collect diffracted light and enhance the image 2006/4/10 111 Light Diffraction With Lens Strayed refracted light D Mask Lens Diffracted light collected by the lens r o Less diffraction after focused by the lens Ideal light Intensity pattern 2006/4/10 112 56

Numerical Aperture NA is the ability of a lens to collect diffracted light NA = 2 r 0 / D r 0 : radius of the lens D : the distance of the object from the lens Lens with larger NA can capture higher order of diffracted light and generate sharper image. 2006/4/10 113 (Optical) Resolution The achievable, repeatable minimum feature size Determined by the wavelength of the light and the numerical aperture of the system. The resolution can be expressed as K R 1 NA K 1 : the system constant, is the wavelength of the light, NA = 2 r o /D, the numerical aperture 2006/4/10 114 57

Exercise 1, let K 1 = 0.6, use R K 1 NA R G-line 436 nm 0.60 m I-line 365 nm 0.60 m DUV 248 nm 0.60 m 193 nm 0.60 m 2006/4/10 115 Increase NA To Improve Resolution Larger lens, could be too expensive and unpractical Reduce DOF and cause fabrication difficulties Reduce wavelength Need to develop light source, PR and equipment Limitation for reducing wavelength From UV to DUV, to EUV, and to X-Ray Reduce K 1 Phase shift mask (PSM) 2006/4/10 116 58

Wavelength and Frequency of Electromagnetic Wave Visible RF MW IR UV X-ray -ray 10 4 10 6 10 8 10 10 10 12 10 14 10 16 10 18 f (Hz) 10 4 10 2 10 0 10 2 10 4 10 6 10 8 10 10 (meter) 10 12 10 20 RF: Radio frequency; MW: Microwave; IR: infrared; and UV: ultraviolet 2006/4/10 117 Depth of focus The range that light is in focus and can achieve good resolution of projected image Depth of focus can be expressed as: DOF K 2 2 2( NA) 2006/4/10 118 59

Depth of Focus DOF K 2 2 2 ( NA) Focus 2006/4/10 119 Depth of Focus Smaller numerical aperture, larger DOF Disposable cameras with very small lenses Almost everything is in focus But, with bad resolution Prefer to reduce wavelength than increase NA to improve resolution Higher resolution, smaller DOF Focus at the middle plane of PR layer 2006/4/10 120 60

Focus on the Mid-Plain to Optimize the Resolution Center of focus Depth of focus Photoresist Substrate 2006/4/10 121 Surface Planarization Requirement Higher resolution requires Shorter Larger NA. Both reduces DOF Wafer surface must be highly planarized. That s why CMP is significantly required for 0.25 m feature patterning. 2006/4/10 122 61

I-line and DUV Mercury i-line, 365 nm Commonly used in 0.35 m lithography DUV KrF excimer laser, 248 nm 0.25 m, 0.18 m and 0.13 m lithography ArF excimer laser,193 nm Application: < 0.13 m F 2 excimer laser 157 nm Still in R&D, < 0.10 m application 2006/4/10 123 Silica and DUV SiO 2 strongly absorbs UV when < 180 nm Silica lenses and masks can t be used 157 nm F 2 laser photolithography Fused silica with low OH concentration, fluorine doped silica, and calcium fluoride (CaF 2 ), With phase-shift mask, even 0.035 m is possible Further delay next generation lithography 2006/4/10 124 62

Phase Shift Mask Pellicle Chrome pattern Phase shift coating d n f Quartz substrate d(n f 1) = /2 n f : Refractive index of phase shift coating 2006/4/10 125 Phase Shift Mask Pellicle Chrome pattern Phase-shifting etch d n g Quartz substrate d(n g 1) = /2 n g : refractive index of the quartz substrate 2006/4/10 126 63

Phase Shift Mask Patterning Normal Mask Phase Shift Mask Constructive Interference Phase shift coating Total Light Intensity Total Light Intensity Destructive Interference Substrate PR Substrate PR Final Pattern Final Pattern Substrate Designed Pattern PR Substrate Designed Pattern PR 2006/4/10 127 Future Trends Feature Size (um) 1.6 1.4 1.2 1 0.8 0.6 0.4 0.2 0 1.5 1.0 Photolithography 0.8 0.5 0.35 0.25 0.18 0.13 0.10 84 88 90 93 95 98 01 04 Year Maybe photolithography Next Generation Lithography 0.07 07 10 0.05 0.035 14 2006/4/10 128 64

Next Generation Lithography (NGL) Extreme UV (EUV) lithography X-Ray lithography Maskless lithography - electron beam or ion beam Immersion lithography 2006/4/10 129 EUV = 10 to 14 nm Short wavelength and reduced NA Mirror basis due to strong absorption at short wavelength Use a mask with Pd/C and Mo/Si multilayer coatings For 0.1 m technology and beyond Still in development (support from Intel) 2006/4/10 130 65

EUV Lithography Mask Mirror 2 Mirror 1 Wafer 2006/4/10 131 X-ray lithography Similar to proximity printer Difficult to find pure X-ray source (synchrotron radiation facility) Challenge on mask making (1:1) Very expensive! unlikely will be used in production 2006/4/10 132 66

X-ray Printing Beryllium X-ray Gold Photoresist Substrate 2006/4/10 133 Optical Mask and X-ray Mask Glass Gold Beryllium Chromium Aspect ratio < 1:5 Aspect ratio > 1:1 Photo Mask X-ray Mask 2006/4/10 134 67

E-Beam Used for making mask and reticles Smallest geometry achieved : 0.014 m Direct print possible, no mask is required Low throughput Scattering exposure system (SCALPEL) looks promising Tool development Reticle making Resist development Very similar to stepper lithography 2006/4/10 135 Electron Beam Lithography System Electron Gun Lens Blanking Plate Lens Stigmator Deflection Coils Lens Wafer 2006/4/10 136 68

SCALPEL 2006/4/10 137 Ion Beam Lithography Can achieve higher resolution Direct writing and projection resist exposing Direct ion implantation and ion beam sputtering patterned etch, save some process steps Serial writing, low throughput Unlikely will be used in the mass production Appropriate for mask and reticle repairing IC device defect detection and repairing 2006/4/10 138 69

Immersion Lithography Fill DI water between light source and wafer Reach higher DOF K DOF w 2 2( NA) Kw : refractive index of water (1.43) Applied in 193 nm or 248 nm systems Likely to push further to 90 or beyond if refractive index increased TSMC has proved good results in 90 nm product with ASML 2006/4/10 139 Immersion Lithography Technology From Mar 2004, Vol 5 Issue 3, Semiconductor Manufacturing 2006/4/10 140 70