Double Exposure Using 193nm Negative Tone Photoresist

Similar documents
Using the Normalized Image Log-Slope, part 2

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Synthesis of projection lithography for low k1 via interferometry

Key Photolithographic Outputs

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

OPC Rectification of Random Space Patterns in 193nm Lithography

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Reducing Proximity Effects in Optical Lithography

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

16nm with 193nm Immersion Lithography and Double Exposure

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Experimental measurement of photoresist modulation curves

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Modeling of EUV photoresists with a resist point spread function

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Optolith 2D Lithography Simulator

Process Optimization

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

In-line focus monitoring and fast determination of best focus using scatterometry

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

MICRO AND NANOPROCESSING TECHNOLOGIES

Sub-50 nm period patterns with EUV interference lithography

OPC Scatterbars or Assist Features

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

optical and photoresist effects

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Microstructured Air Cavities as High-Index-Contrast Substrates with

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

1. INTRODUCTION ABSTRACT

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Purpose: Explain the top advanced issues and concepts in

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Proximity Effects, part 3

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Advanced Patterning Techniques for 22nm HP and beyond

Optimizing FinFET Structures with Design-based Metrology

Update on 193nm immersion exposure tool

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Design Rules for Silicon Photonics Prototyping

Evaluation of Technology Options by Lithography Simulation

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Business Unit Electronic Materials

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Flare compensation in EUV lithography

Holistic View of Lithography for Double Patterning. Skip Miller ASML

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Supporting Information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Mirror-based pattern generation for maskless lithography

Optical Proximity Effects, part 2

Pupil wavefront manipulation for optical nanolithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

Supporting Information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Immersion Lithography Micro-Objectives

Extending SMO into the lens pupil domain

A study on the fabrication method of middle size LGP using continuous micro-lenses made by LIGA reflow

Interferometric Lithography Optical System Utilizing a 442nm HeCd Laser

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Optical Proximity Effects

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

i- Line Photoresist Development: Replacement Evaluation of OiR

Topography effects and wave aberrations in advanced PSM-technology

Linewidth control by overexposure in laser lithography

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Negative tone development process for double patterning

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Line edge roughness on photo lithographic masks

Microlens formation using heavily dyed photoresist in a single step

Managing Within Budget

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Anti-reflective coating for multipatterning lithography

Optical Microlithography XXVIII

Photolithography II ( Part 2 )

Transcription:

Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088, USA b TOK America, 4600 NW Shute Rd, Hillsboro, OR 97124, USA ABSTRACT Double exposure is one of the promising methods for extending lithographic patterning into the low k 1 regime. In this paper, we demonstrate double patterning of k 1-effective =0.25 with improved process window using a negative resist. Negative resist (TOK N- series) in combination with a bright field mask is proven to provide a large process window in generating 1:3 = trench:line resist features. By incorporating two etch transfer steps into the hard mask material, frequency doubled patterns could be obtained. Keywords: Double exposure, double patterning, 193 nm, negative tone 1. INTRODUCTION Resolution is expressed by P/2 = k 1 λ/na, where P/2 is the minimum half pitch, k 1 is a process factor, λ is the wavelength of the exposure light, and NA is the numerical aperture of the projection optics. In order to extend the resolution limit, many resolution enhancement techniques have been developed. Among those many potential techniques, double exposure methods are being considered to be promising in 193 nm lithography (Fig. 1). Recently, several double exposure techniques have been reported such as UV resist-modification [1], double dipole [2], spacer technique [3], and double patterning [4]. Among those, double patterning schemes (Fig.2) are known to be feasible because all of the processes involved utilize common integration schemes, and thus there is no need to develop a special technique including the resist aspect. A common characteristic of this technique is that it often involves an etch step between two separate lithographic processes. The 2 nd etch transfer finally provides the desired feature of low k 1 that is hard to be accomplished by a single lithographic step. In general, conventional implementation of this approach uses a positive resist in combination with a dark field mask, in spite of a small process window, due to the lack of a negative-tone resist of high resolution. In this report, the potential of using a new negative-tone resist system for the double patterning technique in 193 nm lithography is discussed. Using newly developed negative resists (TOK N-series), the advantages in process window aspect and experimental resolution limit of the double patterning is explored. Optical Microlithography XX, edited by Donis G. Flagello, Proc. of SPIE Vol. 6520, 65202M, (2007) 0277-786X/07/$18 doi: 10.1117/12.713209 Proc. of SPIE Vol. 6520 65202M-1

Fig. 1. Schematic illustration of resolution enhancement achievable using double exposure For a bright field/negative tone resist combination, offset 1:3 trenches printed at high k 1 factors are used to generate 1:1 line-space patterns at k 1-eff factor (= k 1 factor after the double exposure) below the singleexposure theoretical limit. Improved resists and more aggressive illumination (shaded regions in Fig. 1) will allow scaling of the method. Mask Resist Hard mask target Mask Resist Hard mask target (a) (b) Fig. 2. Schematic illustration of double patterning; (a) using a dark field/positive tone resist combination, and (b) using a bright field/negative tone resist combination 2. SIMULATION PROCESS WINDOW STUDY BETWEEN A DARK FIELD AND BRIGHT FIELD MASK A process window study of simulated aerial images gives a convenient insight for the decision of which resist and tone mask should be used for the design of the double patterning. As already shown in Fig. 2, the final designated resist feature is 1:3 = trench:line in one lithographic patterning step. As simulation parameters, 1:3 = L/S and 1:3 = S/L mask features were inserted, which will be incorporated Proc. of SPIE Vol. 6520 65202M-2

with a negative-tone resist and a positive-tone resist, respectively. The k 1-eff factor was designed to be 0.25 with a numerical aperture (NA) of 0.75 using a dipole illumination. No OPC or line bias was taken into consideration for simplicity in the simulation. Fig. 3 shows the exposure latitude versus depth-offocus (DoF) plotted. 12 Aerial image process window 10 Exposure latitude (%) 8 6 4 Bright field mask (negative tone resist) 2 Dark field mask (positive tone resist) 0 0 0.1 0.2 0.3 0.4 Depth of focus (um) Fig. 3. Simulated comparison in process window between a bright field mask and a dark field mask As shown in Fig. 3, for a given k 1 factor, the aerial image process window of a bright field mask that needs a negative resist clearly gives an advantage over a dark field mask in a double patterning scheme. With 5% of the exposure latitude restriction, the use of a bright field gives more than 50 nm of DoF advantage over the use of a dark field mask. As long as the resist resolution can support the extremely aggressive patterning scheme in the double patterning, it is clear that the negative resist-bright field mask combination is much more beneficial in the achievement of low-k 1 double patterning. 3. EXPERIMENTAL RESULTS AND DISCUSSION In double patterning method, a hard mask is generally used to store final image from the double patterning method. This hard mask pattern is transferred into the target material with the information of desired k 1-eff. As a hard mask, silicon-rich nitride (SiRN, n real ~2.45) provides a good index matching between a bottom-antireflection coating (BARC) and the silicon substrate. It can act as an element in a dual-bottom antireflection coating in order to further reduce the standing wave effect when appropriate thickness and refractive index are provided. From a series of simulations, SiRN with a thickness of 350 Å was selected as a hard mask in these experiments. As a photoresist, negative tone resists (TOK N-series) for 193 nm lithography were evaluated, and results from TOK N023 and TOK N026 will be provided in this paper. Fig. 4 shows the experimental process window measured out of the first exposure pattern using TOK-N023 negative-tone photoresist. Close to 1:3 = line:space features in the mask used for patterning the resist with a numerical aperture of 0.75 using a dipole illumination. Proc. of SPIE Vol. 6520 65202M-3

CD 90 80 70 60 50 40 T OK N023 FEM4 Doc: T OK N023 FEM4.pd2 : T OK N023 FEM4 44.00 45.00 46.00 47.00 48.00 49.00 50.00 51.00 52.00 53.00 54.00 55.00 56.00 57.00-0.5-0.4-0.3-0.2-0.1 0.0 0.1 Exposure Latitude (%) 18 16 14 12 10 8 6 4 2 Focus (a) T OK N023 FEM4 Doc: T OK N023 FEM4.pd2 : T OK N023 FEM4 0 0.0 0.1 0.2 0.3 0.4 0.5 0.6 Depth of Focus (b) Fig. 4 Process window analysis for TOK N023; (a) Bossung curve and (b) exposure latitude vs. DoF As observed in Fig. 4, with 10% exposure latitude restriction, the depth of focus obtained was 240 nm, which is in good agreement with the simulation result performed prior to the experiment. This also indicates that the resist resolution is high enough to support the aerial image printing for these fine features. Fig. 5 shows the scanning electron microscopy (SEM) photographs of the resist pattern for the 1 st exposure in double exposure with a target space CD of 67 nm. The features shown in these images are within ±10% of the target CD after the development. Proc. of SPIE Vol. 6520 65202M-4

Fig. 5 SEM images of focus-exposure matrix for 1 st exposure in double exposure using TOK N023 In order to assess the resist pattern quality of TOK N023, we examined its line-edge roughness (LER) behavior. Within the specified process window, 3σ LER for the target 70 nm trenches is essentially constant at 5.4 +/- 0.6 nm. Fig. 6(a) illustrates that LER does not vary significantly with dose, while Fig. 6(b) shows the anticipated (but slight) LER increase in defocus. The constant LER within the process window can be attributed to both high mean image log-slopes (ILS) (approx. 17.5 µm -1 ) for the illumination condition chosen, as well as the narrow range of ILS (approx. 15-20 µm -1 ). We note that, as anticipated, trench edges are uniformly uncorrelated. (a) (b) Figure 6. TOK N023 LER behavior through (a) dose and (b) focus LER is fundamentally linked to both exposure conditions and resist materials properties [5]. Given that the chemistry associated with TOK N023 is quite different from positive-tone 193 nm resist system, we chose to examine LER behavior in more detail. Fig. 7 illustrates the power spectra of both TOK N023 and TOK TArF 6239, a high-performance 193 nm positive photoresist. The power spectra observed are essentially indistinguishable. (At very high spatial frequency, slight differences can be seen; these result from image-to-image noise variation, rather than resist behavior.) This finding alleviates potential concerns about unexpected LER behavior in negative-tone materials. Taken in sum, these observations are Proc. of SPIE Vol. 6520 65202M-5

qualitatively in good agreement with previous comparisons of LER in positive and negative-tone systems in a longer wavelength regime [6]. Fig. 7 Comparison of the LER power spectra of TOK N023 and TArF 6239 In Fig. 8, a SEM image of SiRN that passed through the second etch is shown. After the second etch, the residual photoresist and BARC were removed. The desired 130 nm pitch, frequency-doubled pattern is obtained. Fig. 8 SEM image of etch transferred SiRN dense L/S pattern after second etch Further resolution gain can be accomplished by using improved negative-tone formulations. Fig. 8 illustrates TOK N026 imaging at NA=0.85. This improved formulation resolves 50 nm trenches on a 220 nm pitch with a modest process window (Fig. 9 (a)). If extended to a double patterning process, this material would enable dense line/space printing of k 1-eff = 0.24 on a 110 nm pitch using NA=0.85 dry lithography. Proc. of SPIE Vol. 6520 65202M-6

Exposure latitude vs. DoF 10 9 8 Exposure latitude (%) 7 6 5 4 3 2 1 0 0 0.05 0.1 0.15 0.2 0.25 Depth of focus (um) (a) Fig. 9 Generation of 1:3 = trench:line using TOK N026 for target trench CD= 50 nm in 220 nm pitch; (a) exposure latitude vs. DoF, and (b) SEM image (b) As shown above, a negative resist of high resolution shows a potential to be used in the double patterning. Further studies on later generations of the negative resist for the double patterning is in progress. 4. CONCLUSIONS Using a negative resist (TOK N-series) for 193nm, 1:1 lines/spaces with effective k 1 factor of 0.25 was realized using a double patterning technique. DoF of 240 nm at 10% EL was obtained from the process window analysis for the generation of k 1-eff = 0.25. This comparably large DoF is obtained by the use of a bright field mask and a negative resist with a high resolution. This shows a good potential for the negative resist to be used in the double exposure area. Proc. of SPIE Vol. 6520 65202M-7

REFERENCES 1. Hiroko Nakamura, Kazuya Sato, Satoshi Tanaka, Yasuyuki Taniguchi, Junko Abe, Shoji Minotogi and Soichi Inoue, Low k1 contact hole formation by double line and space formation method with contact hole maks and dipole illumination, Japanese J. of Appl. Phys, vole 45, No. 6B, 2006, pp. 5409 2. S. Hsu, M. Burkhardt, J. Park, D. Van Den Broeke and J. Fung Chen, Dark field Double Dipole Lithography (DDL) for 45nm node and beyond, Proc. SPIE, 6283, 62830U-1, 2006. 3. Woo-Yung Jung, Choi-Dong Kim, Jae-Doo Eom, Sung-Yoon Cho, Sung-Min Jeon, Jong-Hoon Kim, Jae-In Moon, Byung-Seok Lee, and Sung-Ki Park, Patterning with spacer for expanding the resolution limit of current lithography tool, Proc. SPIE, 6156, 61561J, 2006. 4. Chang-Moon Lim, Seo-Min Kim, Young-Sun Hwang, Jae-Seung Choi, Keun-Do Ban, Sung-Yoon Cho, Jin-Ki Jung, Eung-Kil Kang, Hee-Youl Lim, Hyeong-Soo Kim, and Seung-Chan Moon, Positive and negative tone double patterning lithography for 50nm flash memory, Proc. SPIE, 6154, 615410, 2006. 5. Harry J. Levinson, Principles of Lithography, 2 nd edition, Bellingham, WA: SPIE Press, 2005. 6. Martha I. Sanchez, William D. Hinsberg, Frances A. Houle, John A. Hoffnagle, Hiroshi Ito, and Cattien V. Nguyen, Aerial image contrast using interferometric lithography: effect on line-edge roughness, Proc. SPIE, 3678, 160, 1999. Proc. of SPIE Vol. 6520 65202M-8