Experimental measurement of photoresist modulation curves

Similar documents
Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Synthesis of projection lithography for low k1 via interferometry

Amphibian XIS: An Immersion Lithography Microstepper Platform

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

OPC Rectification of Random Space Patterns in 193nm Lithography

Using the Normalized Image Log-Slope, part 2

Modeling of EUV photoresists with a resist point spread function

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Optical Proximity Effects, part 2

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Reducing Proximity Effects in Optical Lithography

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Approaching the NA of Water: Immersion Lithography at 193nm

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Key Photolithographic Outputs

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Interferometric Lithography Optical System Utilizing a 442nm HeCd Laser

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Lecture 5. Optical Lithography

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Process Optimization

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

optical and photoresist effects

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithographic Process Evaluation by CD-SEM

DOE Project: Resist Characterization

Optolith 2D Lithography Simulator

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Double Exposure Using 193nm Negative Tone Photoresist

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

In-line focus monitoring and fast determination of best focus using scatterometry

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

MICRO AND NANOPROCESSING TECHNOLOGIES

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Photolithography I ( Part 1 )

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Depth of Focus, part 2

Characterization of e-beam induced resist slimming using etched feature measurements.

What s So Hard About Lithography?

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

A Probability Description of the Yule-Nielsen Effect II: The Impact of Halftone Geometry

i- Line Photoresist Development: Replacement Evaluation of OiR

Contrast Enhancement Materials CEM 365HR

More on the Mask Error Enhancement Factor

Contrast Enhancement Materials CEM 365iS

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Optical Microlithography XXVIII

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Business Unit Electronic Materials

Optical Proximity Effects

16nm with 193nm Immersion Lithography and Double Exposure

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Characterization of a Thick Copper Pillar Bump Process

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Improvements to the Two-Thickness Method for Deriving Acoustic Properties of Materials

Design Rules for Silicon Photonics Prototyping

Water Immersion Optical Lithography for the 45nm Node

Proceeding The Alignment Method for Linear Scale Projection Lithography Based on CCD Image Analysis

Simulation of the coupled thermal/optical effects for liquid immersion micro-/nanolithography

Pupil wavefront manipulation for optical nanolithography

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI,

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

Microlens formation using heavily dyed photoresist in a single step

17th Annual Microelectronic Engineering Conference, May 1999

CD-SEM for 65-nm Process Node

Managing Within Budget

Immersion Lithography Micro-Objectives

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

Anti-reflective coating for multipatterning lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Lecture 8. Microlithography

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Inspection of templates for imprint lithography

A New Profile Measurement Method for Thin Film Surface

Optical Proximity Effects, part 3

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Transcription:

Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb Memorial Drive, Rochester, NY, USA 14623 b Rohm and Haas Electronic Materials, 455 Forest St, Marlborough, MA, USA 01752 c Amphibian Systems, 125 Tech Park Drive, Rochester, NY, USA 14623 ABSTRACT An approach to measurement of resist CD response to image modulation and dose is presented. An empirical model with just three terms is used to describe this response, allowing for direct calculation of photoresist modulation curves. A thresholded latent image response model has been tested to describe CD response for both 90 nm and 45 nm geometry. An assumption of a linear optical image to photoresist latent image correlation is shown as adequate for the 90 nm case, while the 45 nm case demonstrates significant non-linear behavior. This failure indicates the inadequacy of a resist blur as a complete descriptive function and uncovers the need for an additional spread function in OPE-style resist models. Keywords: Interference, immersion, lithography, photoresist, modulation 1. INTRODUCTION Photoresist modulation curves are a quantitative way to characterize photoresist performance, without any assumptions on the nature of photoresist. 1 The only requirement for such characterization is experimental measurement of CD response to image modulation m=(i max -I min )/(I max +I min ) of the delivered image (also referred to as image contrast), across a wide variety of resolutions. The key feature for successful implementation of this approach is the ability to create optical image in resist with modulation ranging from 0 to 1. The response collected on such a dataset can determine the brick wall limit for resist performance, as well as any softer limits, based on a desired level of exposure latitude. It is the goal of this work to perform such experimental measurement, analyze the model required to fit the data, and test several common assumptions about photoresist characterization. A key model commonly used for resist CD analysis is that of the thresholded latent image 2 : CD Es = E 1 mr cos π (1) p Here, E is the exposure dose, E s is the dose-to-size, CD is the resist linesize, p is the image pitch, and m R is the modulation of the latent image. Solving this equation for CD, it is readily obtained: p 1 Es CD = arccos 1 π m R E (2) Regression models based on the Taylor expansion of Equation (2) have been shown to yield better descriptions of physical data with fewer model terms. 3 Another model that is commonly used is that of photoresist linearity. 2 The relationship between the optical image and the latent image in resist is typically assumed to be a linear and shift invariant transformation, allowing the use of a simple proportionality constant: m = α( p) m (3) R * abourov@amphibianlitho.com; phone 1 585 424-3835; www.amphibianlitho.com

Where α(p) is the MTF of the photoresist at resolution corresponding to the pitch p. This model is the key assumption for all photoresist modeling approaches that utilize a resist blur diffusion kernel. Therefore we feel this assumption should be tested as often as possible, and it will be tested in this work, since all the data is available for it. 2. EXPERIMENTAL To simplify description of experimental data, the simple model of Equation (2) was expanded into its Taylor series. The latent image modulation was replaced by optical image modulation m, and additional terms were added for generality. The final model ready for regression is shown in Equation (4): 1 1 1 CD = a + b c d m + E + m E + ε (4) Here, ε is a random variable, necessary to describe the experimental error and the deviation from the true model. The only approach capable of forming optical images with modulation levels reaching 1.0 available to date is interferometry. It has been implemented for printing on wafers, 4 and used in conjunction with immersion, 5 as well with water immersion and using ArF as the source. 6 This makes interferometric imaging an ideal solution for photoresist characterization, if the photoresist is intended for use in ArF immersion lithography. The 90 nm interference imaging was realized with an Amphibian XIS-SW 7 immersion/dry ministepper, configured with an NA=0.54 imaging prism, designed to create patterns of 90 nm half-pitch on the wafer. This tool was able to process 200 mm wafers in Modulation-Exposure array mode. The immersion configuration was used with a fluid gap of 0.3 mm. No attempt was made to calibrate the dose level based on the imaging performance, and the dose calibration factor of 200 cm 2 was used. The photoresist coating and development was performed using an automated track, while the PEB was done using a Brewer Science CEE 1000 hotplate in proximity baking mode. Rohm and Haas XP 4946 photoresist was used with a thickness of 200 nm after the 60 s/90 C softbake. The resist was coated atop the AR40 BARC material, which was 80 nm thick. The baking conditions for the BARC material were 60 seconds at 215 C. Following the exposures the wafers were baked for 60 seconds at 95 C, and then developed for 60 seconds in a 0.26 normality TMAH solution. The photoresist used in the 45 nm study was JSR 1941J, with a thickness of 90 nm. The softbake and the post-exposure bake conditions were 60 seconds at 110 C. The dose calibration was performed using this photoresist, and the dose calibration factor was set to 28 cm 2, so that the dose-to-size was approximately 20 mj/cm 2. The Brewer Science ARC 29A at 41 nm thickness, processed for 90 seconds at 200 C served as the reflection suppression layer. The JSR TCX-014 material with a thickness of 30 nm was used as a top barrier. The interference prism with the NA=1.05 and a water gap thickness of 0.3 mm provided the main imaging configuration for the microstepper. The processed wafer inspection was once again done using a Hitachi S-9300 SEM. It should be noted that 45 nm features are beyond the intended resolution limit of this tool, which likely introduced larger relative amount of noise into the experimental data when compared to that for the 90 nm study. 3. RESULTS The linewidth was measured using the SEM, and all of the subsequent results are reporting the measured CD values. 3.1. Processing the resist response for Modulation curve construction The Modulation-Exposure array was analyzed, and the resulting CD data points were fitted with a regression model corresponding to Equation (4). The fits are shown in Figure 1 and Figure 2, and the summary of fitted model terms is give in Table 1 and Table 2. The fitted CD(m,E) function can be readily inverted to calculate the m(el) response at each resolution.

120 110 100 90 80 70 60 50 40 0.4 0.6 0.8 1.0 modulation Figure 1: Experimental raw (dots) and fitted (lines) CD vs. modulation and dose in the 90 nm test case. The fit model has an R 2 adj of 0.96 and an RMS error of 3.0 nm across the whole data range, while only using 3 regression terms (see Equation (4)). Term Estimate Std. Error Significance Intercept -37.2 3.2 <.0001 1/E 168.0 4.3 <.0001 1/m -6.86 0.86 <.0001 (1/E-0.73281) (1/m-1.38352) 146.7 13 <.0001 Table 1: Summary of the estimates of the model parameters used to analyze the Modulation-Exposure matrix for the 90 nm interferometric imaging. A total of 72 data points covering a wide range of dose and modulation values were described using this model. The average standard error of prediction was 0.7 nm within the parameter space. 52 50 48 46 44 42 40 38 36 34 0.4 0.5 0.6 0.7 0.8 0.9 1.0 modulation Figure 2: Experimental raw (dots) and fitted (lines) CD vs. modulation and dose for the 45 nm case. The fit model has an R 2 adj of 0.82 and an RMS error of 1.9 nm across whole range, while using only 3 regression terms.

Term Estimate Std. Error Significance Intercept -24.6 6.3 0.0005 1/E 1370 154 <.0001 1/m -2.7 2.1 0.21 (1/m-1.24157) (1/E-0.05064) 2161 747 0.0073 Table 2: Summary of the estimates of the fit parameters used to analyze the Modulation-Exposure matrix for the 45 nm interferometric imaging. A total of 32 data points covering a wide range of dose and modulation values were described using this model. 3.2. Testing the resist linearity assumption Beyond calculating the m(el) modulation curve response, the experimental data was used to test the validity of the thresholded latent image model (Equation (2)) as well as of the photoresist linearity assumption (Equation (3)). The threshold model test consisted of using Equation (2) to fit the individual CD vs. E curves at different modulation levels. The quality of the fit compared to other common types of fits was used to judge the applicability of the model. An example of this type of analysis is given in Figure 3 and Figure 4. All of the modulation series in this experiment passed this test, with the threshold model often obtaining the highest R 2 value of all the common fitting models. As a result of this analysis, the value of latent image modulation (m R ) was estimated for each level of the optical image modulation (m). 110 100 90 80 70 60 1.0 1.2 1.4 1.6 Dose (mj/cm 2 ) Figure 3: Example of latent image modulation measurement for the 90 nm case, the value was extracted by using the direct fit using Equation (2). The input optical image modulation was 1.0, and the extracted latent image modulation was 0.65. Further testing included analysis of the m R vs. m curves. For this test the value of the latent image modulation was plotted vs. the optical image modulation, fitting a linear relationship. The y-intercept term of the fit was compared to zero, within the standard error of the fitted value. The result for the 90 nm case is shown in Figure 5, with the resulting value of the slope of 0.66 ± 0.06, and the intercept of -0.04 ± 0.04. It was thus determined that this case satisfied the linearity assumption.

48 46 44 42 40 38 36 34 18 19 20 21 22 23 Dose (mj/cm 2 ) Figure 4: Example of latent image modulation measurement for the 45 nm case, the value was extracted by using the direct ArcCos fit. The input optical image modulation was 1.0, and the extracted latent image modulation was 0.61. 1.0 0.8 0.6 m R 0.4 0.2 0.0 0.0 0.2 0.4 0.6 0.8 1.0 m Figure 5: Linearity test for the 90 nm test case. The error bars represent confidence intervals obtained after fitting m R. The latent image modulation appears to be proportional to the optical image modulation. In the 45 nm case (Figure 6), the value of the slope was calculated as 1.09 ± 0.14, and the value of the intercept was -0.49 ± 0.12. The error in this estimate represents only the uncertainty in fitting the line to the m R points. The fact that the intercept is different from zero represents a failure of the linearity assumption for the photoresist. A definitive hard stop resolution limit for this resist can be identified, if extrapolation of the data is allowed. This photoresist would have no image formation as long as the optical image modulation is lower than 0.45. This represents the brick wall limit at this resolution.

1.0 0.8 0.6 m R 0.4 0.2 0.0 0.0 0.2 0.4 0.6 0.8 1.0 1.2 m Figure 6: Linearity test for the 45 nm test case. The photoresist latent image modulation is plotted vs. the optical image modulation. The latent image modulation does not appear to be proportional to that of the optical image. A resist with performance matching the extrapolated line has a brick wall limit, if the image modulation is less than 0.45, no useful modulation of the latent image is produced. 4. CONCLUSIONS An approach for modeling the CD response to optical image modulation and dose has been presented. Experimental data sets for 90 nm and 45 nm nodes were collected using an immersion interferometric ministepper. A simple threeterm model was identified, fitting both test cases with high R 2. These models are ready for use in calculating the Photoresist Modulation Curves, when collected for the same resist at varying resolutions. Additional assumption testing was performed on the collected datasets. The thresholded latent image development model appeared to be applicable, while the resist linearity test passed for the 90 nm case, and failed for the 45 nm case. The implications of the photoresist linearity failure can be far-reaching, including a fundamental inability of the resist blur style approach to accurately describe resist response for 45 nm geometries. REFERENCES 1. A. Bourov, Y. Fan, F. Cropanese, B. Smith, Photoresist Modulation Curves, Proc SPIE 5754 (2005) 1762 2. J. A. Hoffnagle, W. D. Hinsberg, F. A. Houle, and M. I. Sanchez, Characterization of photoresist spatial resolution by interferometric lithography, Proc SPIE 5038 (2003) 464 3. C. Mack, J. Byers, Improved Model for Focus-Exposure Data Analysis, Proc SPIE 5038 (2003) 396 4. W. Hinsberg, F. A. Houle, J. Hoffnagle, M. Sanchez, G. Wallraff, M. Morrison, and S. Frank, Deep-ultraviolet interferometric lithography as a tool for assessment of chemically amplified photoresist performance, J. Vac. Sci. Technol. B 16(6) 3689 5. J. A. Hoffnagle, W. D. Hinsberg, M. Sanchez, and F. A. Houle, Liquid immersion deep-ultraviolet interferometric lithography, J. Vac. Sci. Technol. B 17(6) 3306 6. A. Bourov, Y. Fan, F. C. Cropanese, N. V. Lafferty, L. Zavyalova, H. Kang, B. W. Smith, Immersion microlithography at 193nm with a Talbot prism interferometer, Proc SPIE 5377 (2004) 1573 7. B. Smith, A. Bourov, Y. Fan, F. Cropanese, P. Hammond, "Amphibian XIS: An Immersion Lithography Microstepper Platform", Proc. SPIE 5754, (2005)