RING OSCILLATORS AS THERMAL SENSORS IN FPGAS: EXPERIMENTS IN LOW VOLTAGE

Similar documents
Thermal Monitoring on FPGAs Using Ring-Oscillators

Fine-Grained Characterization of Process Variation in FPGAs

Characterizing non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-based Thermometers

A-B NODES CLASSIFICATION FOR POWER ESTIMATION. Elías Todorovich and Eduardo Boemo *

Thermal Characterization and Optimization in Platform FPGAs

On Built-In Self-Test for Adders

ADAPTIVE THERMOREGULATION FOR APPLICATIONS ON RECONFIGURABLE DEVICES. Phillip H. Jones, James Moscola, Young H. Cho, John W.

Rapid prototyping of a Self-Timed ALU with FPGAs

Mitigating impacts of workload variation on ring oscillator-based thermometers.

PROCESS and environment parameter variations in scaled

Low Power Design for Systems on a Chip. Tutorial Outline

On-silicon Instrumentation

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI

DETECTING POWER ATTACKS ON RECONFIGURABLE HARDWARE. Adrien Le Masle, Wayne Luk

WEI HUANG Curriculum Vitae

TRUE random number generators (TRNGs) have become

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

/$ IEEE

Design and Simulation of PID Controller using FPGA

Original Research Articles

A high resolution FPGA based time-to-digital converter

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Research Article Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Rings

Abstract. Introduction

Low Power Design of Successive Approximation Registers

Estimation of Real Dynamic Power on Field Programmable Gate Array

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

32-Bit CMOS Comparator Using a Zero Detector

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

RECENT technology trends have lead to an increase in

LOW VOLTAGE INTEGRATED CONVERTER FOR WASTE HEAT THEREMOELECTRIC HARVESTERS

Active Decap Design Considerations for Optimal Supply Noise Reduction

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

Overheat protection circuit for high frequency processors

FPGA PUF based on Programmable LUT Delays

Analysis of Parallel Prefix Adders

A Self-Contained Large-Scale FPAA Development Platform

CS 6135 VLSI Physical Design Automation Fall 2003

An alternative approach to model the Internal Activity of integrated circuits.

Webpage: Volume 3, Issue V, May 2015 ISSN

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY

An area optimized FIR Digital filter using DA Algorithm based on FPGA

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

Data Word Length Reduction for Low-Power DSP Software

A DC-DC Boost Converter in CMOS Technology for Power Harvesting Applications

A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

An FPGA Noise Resistant Digital Temperature Sensor with Auto Calibration

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

Methods for Reducing the Activity Switching Factor

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

A Robust Oscillator for Embedded System without External Crystal

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sensing Voltage Transients Using Built-in Voltage Sensor

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

Low-Power Multipliers with Data Wordlength Reduction

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

Efficient logic architectures for CMOL nanoelectronic circuits

A Dual-V DD Low Power FPGA Architecture

International Journal of Advance Engineering and Research Development

VLSI Implementation of Digital Down Converter (DDC)

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit

Digital Control of MS-150 Modular Position Servo System

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Imaging serial interface ROM

CARRY SAVE COMMON MULTIPLICAND MONTGOMERY FOR RSA CRYPTOSYSTEM

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

Real Time Hot Spot Detection Using FPGA

Analog front-end electronics in beam instrumentation

CHARACTERIZATION OF PHASE SHIFTERS ON A KU-BAND PHASED ARRAY ANTENNA ESA/ESTEC, NOORDWIJK, THE NETHERLANDS 3-5 OCTOBER 2012

Application Note #AN-00MX-002

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

A Novel Approach For Designing A Low Power Parallel Prefix Adders

Re-configurable Switched Capacitor Sigma-Delta Modulator for MEMS Microphones in Mobiles

PHASE-LOCKED loops (PLLs) are widely used in many

Transcription:

RING OSCILLATORS AS THERMAL SENSORS IN FPGAS: EXPERIMENTS IN LOW VOLTAGE John J. León Franco and Eduardo Boemo Escuela Politécnica Superior Universidad Autónoma de Madrid, Spain. email: johnjairo.leon@estudiante.uam.es, eduardo.boemo@uam.es Encarnación Castillo and Luis Parrilla Dept. Electronics and Computer Technology. University of Granada, Spain email: encas@ditec.ugr.es, lparrilla@ditec.ugr.es ABSTRACT In this paper, some experiments about thermal sensors based on ring-oscillator in low-voltage Virtex series FPGAs are presented. A non linear effect in the frequencytemperature response has been detected, and the sensibility of frequency with respect to voltage variations is greater than the measured in previous works. A quadratic polynomial function fits better the sensor response, and an increment in the number of inverters in the oscillator is effective to reduce the voltage sensibility. 1. INTRODUCTION High temperature decays exponentially the reliability of integrated circuits [1], [2]. Die temperature can be monitorized using embedded diodes and transistors. In this first case, the error is ranged between -2 C and 2 C, meanwhile transistors reduce it to -1 C and 0.8 C [3]. Embedded diodes are used in FPGA technology.. Virtex-5 has a dedicated block called System Monitor. It includes a diode located in the center of the die, as well as an embedded microcontroller to monitor the temperature. Other thermal management actions like power down, interfacing, and data acquisition of small bandwidth signal can be also performed by this block. The absolute error is around 4 C [4]. Other alternative to measure die temperature is the use ring-oscillators, an idea reported in [5] and [6]. In [5], a programmable ring oscillator is designed to adjust a realtime image processor respect to temperature and voltage. The output frequency of the sensor is modeled by a 4 th - order model, and allow the designer to measure both voltage and temperature of operation. In [7], the influence of temperature and voltage on the delay, for low-voltage electronics is thoroughly analyzed. Four ring oscillators are implemented in 0.7 μm technology. Using 104-inverter ring-oscillators, the authors conclude that more inverters reduce sensitive to the voltage. But at the low-voltage and high-temperature corner, the delays are smaller than the corresponding values at a lower temperature. This phenomenon, known as reversed temperature, is also reported in [8]. Another characteristic of the delay at lowvoltage is its non linear shape, as opposite to the linear behavior observable at higher voltages. The utilization of ring-oscillators as thermal sensors on FPGAs was first explored in [9]. The advantages of ringoscillators in a reprogrammable logic framework are multiple: it allows the designer the detection of hot spots or signal contentions [10], as well as the possibility of inserting an array of sensors using dynamic configuration to get a real-time thermal map of the FPGA [11]. Such techniques and other applications of ring-oscillators have been extended in recent years by other research groups on FPGAs [12]-[17]. In this paper, some updated experiments of thermal sensor based on ring-oscillators in a 1.0 V core power supply Virtex-5 are presented. As it was pointed above, the operation of the sensors in low-voltage technologies lead to different results. The work is organized as follow. In the next section, the experimental setup is described. In Section 3, main results are shown. Finally, the main differences respect to previous results are summarized. 2. BUILDING AND CALLIBRATING RING- OSCILLATORS ON FPGA Essentially, a thermal sensor based on ring-oscillators is a closed loop with an odd number of inverters. A timebase counter activates the circuit during a short time, capturing the frequency using a counter. To avoid self heating an AND gate is added to star/stop the oscillation. Wiring delay can be minimized in FPGAs by using a RPM (relative placement macro). A ring-oscillator can be embedded in just one LUT, but output frequency is too high. Practical rings on FPGAs require between 5 and 25 978-1-4244-6311-4/10/$26.00 2010 IEEE 133

inverters. Each sensor implementation must be calibrated using a temperature-controlled oven. A scheme of the sensor utilized in this paper is depicted in Figure 1. A counter captures the frequency during a fixed window generated by a base time block. An external clock (clk) controls the process, and a shifter is used to take out the samples. The others external signals are intended to control the acquisition process. TS starts a measure, and OS indicates to the control unit when to shift the count throuhg signal S. Fig 2. Experimental setup scheme 3. EXPERIMENTAL RESULTS. The behavior of a three-inverter oscillator respect to temperature is depicted in Fig.3. This sensor is located aside the thermal diode (in the position X26Y40 of the FPGA grid) in order to minimize measurement errors. The measured points show a non linear behavior. Fig. 1. Architecture of temperature sensor based on ring oscillator. Figure 2 shows the experimental setup, which include a Virtex-5 LX30FF676-1 FPGA board [18], a XUP board [19], a temperature-controlled oven Pselecta Digitronic 2005131 [20], a Digilent digital power supply N6705A, and an EMC1422 temperature sensor board [21]. The FPGA under test is situated into the oven. The XUP board includes a Microblaze microprocessor which gives the appropriate commands to the thermal sensor to start the acquisition through a simple four wired interface (signals clk, TS, OS, and S). Once finished, the data are sent back to the computer through a RS232 interface. The EMC1422 temperature sensor board is utilized to take comparative temperature samples based on the embedded diode situated in the center of the Virtex-5 FPGA. The digital power supply provides a trustworthy way to vary the FPGA core voltage and keep it constant when the oven temperature is changed. The information about variations in voltage and input current is registered through an USB interface. All measures are captured and analyzed in a PC. Fig. 3. Calibration curve of the thermal sensor with three inverters. 134

Fig. 4. Calibration surface varying voltage and temperature. Figure 4 shows the calibration of the same sensor but changing voltage too. The voltage variation was ranged between 0.95 V and 1.05 V in steps of 10 mv and temperature variation was between 40ºC and 90ºC. The surface describes a pronounced change in the frequency axis due to voltage. A mathematical model was adjusted using the lowest sum of squared absolute error criterion (LSSQC). Many functions were analyzed but equation (1) gave the lowest error: 16. b d 2 f = a + + ct + + et + 2 v v t g v (1) In (1), f is the frequency, v is the core voltage, and t is the temperature. Table 1. coefficient values constant value a 711.672835 b 81.022020 c -1.3704875 d -360.990225 e -0.0021678 g 1.477546 Sensibility of sensor can be obtained taking partial derivative respect to voltage and temperature. 135

Fig. 5. a) Surface of voltage sensibility. b) Surface of temperature sensibility. The sensibility of frequency with respect to temperature is: g S T = c + 2 et + (2) v because the mesuament error due to voltage variation is minimun too. Twenty-five inverters is an optimum number of stages that can be embedded without a significant increasing of area. and the sensibility of frequency with respect to voltage is: S v b + gt 2d 2 3 v v = (3) Figure (5) reveals that a small change in voltage core at low voltage produces a high variation in the frequency of the sensor, and the contribution of the temparture is less significant. Although at low-voltage the sensor has a non linear characteristic, each point in frequency has an unique pair voltage-temperature. This property can be used to monitor voltage at any point of the die. To explore the effect of adding more inverters to the thermal sensors, 11 different implementations were calibrated, ranging from 5 inverters up to 25 ones. The temperature was keept constant at 40ºC. The voltage was increased from 0.95 V to 1.05 V in steps of 10 mv. For each voltage point, 254 samples were taken. To determine the impact of inverters in the dispersion, the metric utilized was the average of standard deviation. Figure (6) shows how the dispertion average decreases while increases the number of stages. This fact has practical importance, Fig. 6. Average of standard deviation of the oscillation frequency at 40 ºC. 136

4. CONCLUSION The experiments in 1.0 V low-voltage core FPGA show that ring-oscillators sensors have a non linear behavior more pronounced than those previously implemented on FPGAs with voltage core of 2.5 V or higher. The characterize voltage-temperature-frequency can be adjusted by a non linear function: each frequency point has a single pair voltage-temperature. Finally, increasing the number of sensors helps to improve noise immunity and reduce the impact of parameters variation. 5. ACKNOWLEDGE This work has been granted by the CICYT of Spain under contract TEC2007-68074-C02-02/MIC. The authors wish to thank Juan José Noguera of Xilinx Research Labs at Dublin for the donation of Virtex-5 boards as well as for his valuable comments and suggestions. We also thank to Professor Sergio Lopez Buedo for his technical support during the setup of the experiments. 6. REFERENCES [1] Priya Sundararajan, Aman Gayasen, N. Vijaykrishnan, T. Tuan, Thermal Characterization and Optimization in Platform FPGAs, IEEE/ACM International Conference on Computer-Aided Design, pp. 443-447, November 2006 [2] Pradeep Lall, Michael G.Pecht, Edward B. Hakim. Influence of temperature on microelectronics and system reliability, CRC press, 1997. [3] Virtex-5 System Monitor user guide, UG192, Xilinx Inc., october 12, 2006. [4] Sasaki, M.; Ikeda, M.; Asada, K., -1/+0.8/spl deg/c error, accurate temperature sensor using 90nm 1V CMOS for online thermal monitoring of VLSI circuits, 2006 IEEE International Conference on Microelectronic Test Structures Volume, Issue, 6-9 March 2006. [5] Georges M. Quénot, Nicolas Paris, Bertrand Zavidovique, A temperature and voltage Measurement Cell for vlsi circuits, EURO ASIC Conference, pp. 334-338, 28-31 May 1991. [6] Arabi, K.; Kaminska, B., Built-in temperature sensors for on-line thermal monitoring of microelectronic structures, Proc. IEEE International Conference on VLSI in Computers and Processors, pp. 462-467, 12-15 Oct 1997. [7] Daga, J.M.; Ottaviano, E.; Auvergne, D. Temperature effect on delay for low voltage applications. Proc. Design, Automation and Test in Europe,. Feb 1998. [8] Kumar, R.; Kursun, V. Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits, IEEE Transactions on. Circuits and Systems II, Volume 53, Issue 10, pp:1078-1082, Oct. 2006. [9] E. Boemo and S. Lopez-Buedo, "Themal Monitoring on FPGAs using Ring-Oscillators", Lecture Notes in Computer Science, No.1304, pp.69-78, Berlin: Springer-Verlag, 1997 [10] Sergio Lopez-Buedo, Javier Garrido, Eduardo Boemo, Thermal Testing on Reconfigurable Computers, IEEE Design & Test of Computers, vol.17 n.1, pp..84-91, January 2000. [11] S. Lopez-Buedo, J. Garrido, and E. Boemo, "Dynamically Inserting, Operating, and Eliminating Thermal Sensors of FPGA-based Systems", IEEE Transactions on Components and Packaging Technologies (CPM), Vol.25, Nº4, pp.561-566, December 2002. [12] R. Mukherjee, S. Mondal and S. Memik, Thermal Sensor Allocation and Placement for Reconfigurable Systems, Proc. ICCAD'06, pp. 437-442, ACM N.Y. 2006. [13] P. Kohlbrenner and K. Gaj, An Embedded True Random Number Generator for FPGAs, Proc. 2004 ACM FPGA Workshop, pp.71-78, ACM Press 2004. [14] Velusamy, S.; Wei Huang; Lach, J.; Stan, M.; Skadron, K., Monitoring temperature in FPGA based SoCs, Proceedings of IEEE International Conference on VLSI in Computers and Processors, pp. 634-637, 2-5 Oct. 2005. [15] P. Sundararajan, A. Gayasen, N. Vijaykrishnan, and T. Tuan, Thermal Characterization and Optimization in Platform FPGAs, Proc. ICCAD'06, pp.443-447, IEEE Press 2006. [16] P. Sedcole and P. Cheung, Within-die Delay Variability in 90nm FPGAs and Beyond, Proc. FPT 06 Conf., pp.97-104, IEEE Press 2006. [17] B. Lee, et al., Thermal Sensor Allocation and Placement for Reconfigurable Systems, ACM Transactions on Design Automation of Electronic Systems, Vol. 14, No. 4,August 2009. [18] www.xilinx.com/support/documentation/boards_and_kits/ug 222.pdf. [19] www.xilinx.com/univ/xupv2p/documentation/xupv2p_ User_Guide.pdf. [20] Pselecta Digitronic 2005131 instructions manual. Code 80097. Rev B. 20/12/2000. [21] EVB-EMC14XX user manual. SMSC Inc. Revision 1.0. 14/ 06/ 2006. 137