Economic Model Workshop, Philadelphia

Similar documents
Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Lithography. International SEMATECH: A Focus on the Photomask Industry

Advancing Industry Productivity

Semiconductor Industry Perspective

ISMI Industry Productivity Driver

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

It s Time for 300mm Prime

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

The SEMATECH Model: Potential Applications to PV

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

MAPPER: High throughput Maskless Lithography

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

(Complementary E-Beam Lithography)

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP. Guidance For Wafer Probe R&D Resources Edition

The future of lithography and its impact on design

The Development of the Semiconductor CVD and ALD Requirement

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Intel Technology Journal

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

AN ANALYSIS: TRADITIONAL SEMICONDUCTOR LITHOGRAPHY VERSUS EMERGING TECHNOLOGY (NANO IMPRINT) Robert L. Wright Kranthi Mitra Adusumilli

Accelerating Growth and Cost Reduction in the PV Industry

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Trends and Challenges in VLSI Technology Scaling Towards 100nm

4Q02 Update: Semiconductor Capacity Still on Hold

Lithography in our Connected World

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

1 Digital EE141 Integrated Circuits 2nd Introduction

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Nikon Medium Term Management Plan

Enabling Semiconductor Innovation and Growth

2010 IRI Annual Meeting R&D in Transition

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

W ith development risk fully borne by the equipment industry and a two-year delay in the main

Commercializing Innovation:

HOW TO CONTINUE COST SCALING. Hans Lebon

The Road to 450 mm Semiconductor Wafers Ira Feldman

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

EUV Supporting Moore s Law

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

Common Development Topics for Semiconductor Manufacturers and their Suppliers in Germany

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Introduction to VLSI ASIC Design and Technology

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise

ISMI 450mm Transition Program

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Burn-in & Test Socket Workshop

NO COST APPLICATIONS FOR ASSEMBLY CYCLE TIME REDUCTION

Recent Trends in Semiconductor IC Device Manufacturing

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

MIDTERM REVIEW INDU 421 (Fall 2013)

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Beyond Moore the challenge for Europe

Comparison of Drilling Rates and Tolerances of Laser-Drilled holes in Silicon Nitride and Polyimide Vertical Probe Cards

A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting

The Future of Packaging ~ Advanced System Integration

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Competitive in Mainstream Products

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

WLP Probing Technology Opportunity and Challenge. Clark Liu

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Mask magnification at the 45-nm node and beyond

ITRS Update (and the European situation) Mart Graef Delft University of Technology

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

EVCA Strategic Priorities

Toolbox for Renewable Energy Tariff Design in West African Countries

Multi-Family Council - Blue

UNCLASSIFIED. R-1 ITEM NOMENCLATURE PE S: Microelectronics Technology Development and Support (DMEA) FY 2013 OCO

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

ASICs Concept to Product

TRUST in Integrated Circuits Program

Market and technology trends in advanced packaging

21 st Annual Needham Growth Conference

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

Wide Band-Gap Semiconductors GaN & SiC

Limitations and Challenges to Meet Moore's Law

2015 ITRS/RC Summer Meeting

Commodity Management in the Department of Defense

GSEF 2019 Advisory Board

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Story. Cover. R e d e fining Moore s Law

Manufacturing Readiness Assessment Overview

1Q04 Update: Silicon Demand Will Move to a Full Recovery

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Transcription:

Economic Model Workshop, Philadelphia Denis Fandel, Project Manager, MM&P 1 August 2001

Meeting Guidelines Project Mission / Model Overview Early Production Test Program Fundamental Assumption Allocation Process Integrated Model 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 2

Setting / keeping the ground rules Focus on the meeting results Treat everyone with respect Differences are valuable - encourage them Criticize ideas not people Everyone participates, no one dominates Encourage all questions/observations No side conversations Use listening skills Seek first to understand then to be understood Ask questions to deepen understanding Keep to the agenda times 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 3

What should be discussed Information, models, and data Implications, ideas, questions Areas for industry cooperation What should not be discussed Us versus Them Confidential plans or technology Individual business issues Issues that could be considered Anti-trust 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 4

Meeting participants must not make any agreement that restricts output, capacity or the pace of technology innovation No discussion on what any company will do on Prices it will charge, or give any pricing formula Products it will offer unless previously publicly announced Quantities it will produce or min / max capacity it will add No agreement on timing of technology changes Individual companies may state their individual timing to ensure availability of tools and infrastructure May not predicate timing on what competitors are willing to do or agree to 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 5

Objective Purpose To educate and train members of the early production team on the functionality and features of ISMT Industry Economic Model Expected Results Comprehensive knowledge and skill in operating ISMT Industry Economic Model for executing scenarios, performing sensitivity analysis and creating reports and graphs. 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 6

Model Release (Conditional) - May 31 st, 2001 Agree to participate in EPT program Representative from the IEF members Membership Enrollment / Agreements Actively exercise the model and report on activities Utilize ECONtalk network and participate in Webex meetings Schedule of Key Dates Kick Off Workshop, August 1 st -3 rd, 2001 (2.5 days) Bi-Weekly Work Sessions, August 17 th, 31 st, September 14 th, 2001 Wrap Up Work Session, September 28 th, 2001 (0.5 days) 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 7

SEMATECH Team Denis Fandel, Capacity & Productivity (denis.fandel@sematech.org), (512-356-3461) Robert Wright, Building & Equipment (robert.wright@sematech.org), (512-356-7845) Tim Stanley, Cost Resource Model (tim.stanley@sematech.org), (512-356-3452) Rochelle Remke, User Interface / Tools (rochelle.remke@sematech.org), (512-356-3108) Peter Marrone, Administrative Support (peter.marrone@sematech.org), (512-356-3545) Neil Gayle, Agere Systems, Supplier Interface (neil.gayle@sematech.org), (512-356-3291) Walt Trybula, Lithography Thrust Interface (peter.marrone@sematech.org), (512-356-3545) 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 8

Early Production Test Team Paul Landler, Consultant (plandler@attglobal.net), (802-425-4057) Tom Perry, Agere Systems (tcperry@agere.com), (610-712-5624) Jim Nester / Kelli Polotaye, Silicon Valley Group (nesterj@svg.com), (203-761-6415) Kenneth Flamm, University of Texas (kflamm@mail.utexas.edu), (512-471-8952) Carlo Guareschi, ST Microelectronics (carlo.guareschi@st.com), (011-33-4-5040-2630 ) Yon-Chun Chou, National Taiwan University (ychou@ccms.ntu.edu.tw), (886-2-2365-xxxx ) Alan Allan, Intel (alan.k.allan@intel.com), (480-554-8624) 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 9

Industry Economic Modeling Industry Economic Modeling will assist our member companies and their suppliers as they plan for the future by providing a toolbox to explore technology assumption and business dynamics scenarios. While not a forecasting toolbox, the model will provide unbiased sensitivity analysis as a foundation for building common understanding. 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 10

Technology Node Timing, Product Density, Mfg. Process / Tool Needs Yearly Bits, TX Shipments by Technology Node / Product IC Market/Segmentation Model Wafer Starts by Product Type, Fab Type, Technology node Cost / Function Staying on Moore s Law? IC Productivity Model ASSUMED IC Capacity / Fab Model Tools / Material Cost Supplier ROI Model # of Tools by type / technology node Supplier Market / Segmentation Model Tools / Materials Requirements, Revenue by Category 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 11

Market forecast in in Si-area per per product family from Semico data Market Elasticity Technology roadmap assumptions and technology distributions Fab Assumptions Equipment assumptions Semi Revenue (Cost-based) Equip Revenue (CRM-based) Fab population Equipment mkt. $ per per function Functions shipped 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 12

User Interface Created Access to Assumptions / Data Bases Industry Productivity and Capacity Model (IPCM) Industry Building and Equipment Model (IBEM) Access to Report Generator SEMATECH Productivity Capacity Equipment Summary (SPaCES) SEMATECH Resource Equipment Market Segmentation (STREaMS) Optional Fab - Centric Allocation Process Multi product environment Cost Sensitive Wafer Size Ramp Simplified CRM Created Excel based, interactive with other tools New assumptions added Upgrades Modifiers Beta Test Model 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 13

SPaCES / Productivity & Capacity Beta Test Encore Model Upgrades re-enabled with option on range Fab-centric allocation process option added All roadmap scenarios included Revised product groups Redefinition of node ranges Fab aging function Equipment capital escalation factor added STREaMS / Building & Equipment Revised regression factor for calculating wafer cost Coupled silicon, mask and resist to product / process / wafer size All roadmap scenarios included Equipment escalation factor added 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 14

Building and Equipment Early Production Test Model Consolidated / Rearranged (50% reduction in space) Expanded to include Processed Wafer Cost Segmented Fixed and Variable Cost Incorporated Packaging / Test uplifts Productivity and Capacity Added fixed and variable cost tables Reordered tables (Capacity / Capital / Cost) Design demand trend modifier Updated density assumptions (nodes pre / post model) Redefined group hierarchy Reconfigured retirement and upgrade windows Instituted auto utilization option 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 15

A: 3 year intervals B: 2 year intervals starting in 1997 C: 2000 ITRS (3 year / 2 year / 3 year).5ц.35ц.25ц.18ц.13ц.09ц.065ц A 1991 1994 1997 2000 2003 2006 2009 B 1991 1994 1997 1999 2001 2003 2005 C 1991 1994 1997 1999 2001 2004 2007 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 16

Introduction / Ramp based on productivity Every 9 years with 3 year technology node frequency Modified based on change in technology node pace Cost crossover 2-3 years post introduction 125mm 200mm 300mm 450mm 675mm 50 150mm A 1981 1990 1999 2008 2017 B 1981 1990 2001 2020 C 1981 1990 2001 2010 2019 C 1981 1990 2001 2013 ITRS2001 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 17

Trends from SEMICO data Wafer size and FAB utilization Technology distribution by product group Retired FABS FAB learning Process flows Equipment and FAB parameters Wafer demand by product group Wafer demand by product group and technology FAB demand by product group and technology New FABS and upgrades optimized for all groups New FABS and upgrades by group and technology Equipment market FAB size and cycle time factors Technology node timing Downgrade FABS Transferred FABS WW manufacturing cost by group Tx area by product group and technology Tx shipments by product group Productivity by group (cost /Tx) 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 18

OLE Si Demand LEL Si Demand LEM Si demand by node Retires Upgrades, Builds New Downgrades Converts out Converts out Downgrades Upgrades, Builds New Retires Transfer in Other IC Si demand by node Other S/c Si demand by node Transfer in Retires Upgrades, Builds New Downgrades Scrap Converts out 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 19

OLE Si Demand LEL Si Demand LEM Si demand by node Retires, Reserves Upgrades, Builds New Downgrades Converts out Converts out Downgrades Upgrades, Builds New Retires, Reserves Transfer in Other IC Si demand by node Other S/c Si demand by node Transfer in Retires, Reserves Upgrades, Builds New Downgrades Scrap Converts out 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 20

Revenue ROI Demand Curve/ Price Sensitivity IC Supply/Segmentation Model IC Productivity FAB / Cost Model Supplier Market / Segmentation Cyclical factors Foundry Analysis Leasing Analysis 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 21

Economic Model Workshop, Philadelphia 1 August 2001

07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 23

07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 24

07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 25

07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 26

07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 27

The worksheets are color-coded. Here is the key: Semico Data Trends Header Variables Semico Data / given information Trends Section Headers Variables Section Dividers The tabs are named according to the product they represent. LEM = Leading Edge Memory LEL = Leading Edge Logic OLE = Other Leading Edge TLE = Total Leading Edge = sum of LEM, LEL, and OLE OIC = Other Integrated Circuits TIC = Total Integrated Circuits = sum of TLE and OIC OSC = Other Semiconductors TSC = Total Semiconductors = sum of TIC and OSC 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 28

Row 2, Column B: Scenario in the worksheet Column E : Years in the scenario Row 3, Column A: Products in worksheet group Column E : Cumulative years (+/-) with 1989 as zero Row 4: Wafer diameters introduction year in the scenario Row 5: Technology node introduction year in the scenario Constants: Row1605: Fab Size in wafers / year 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 29

Early Production version 2.1 Trend Demand: (Best fit based on 1994 2005 observation) Scenario ( A, B, C, CITRS2001) prodcentric Scenario ( A, B, C, CITRS2001) fabcentric True Demand: (Actual / forecast demand for 1994 2005) Scenario (CITRS2001_SEMICO) prodcentric New macro developed to facilitate data transfer and align to roadmap introduction / life cycle boundaries Scenario (B_CITRS2001_SEMICO demand) prodcentric All with the following allocation process incorporated Upgrades limited to two nodes Retires after the following: LEM/L = 4, OLE = 6, OIC = 8, OSC = 10 Auto-utilization option incorporated 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 30

Row # 7-71 Row # 83-136 Row # 173-201 Rules File: Row # 7-74 Row # 448-657 SEMICO product group trends Technology / wafer size distributions by group Fab Capacity Utilization by group Fab Learning by Technology/ wafer size Downgraded Fabs by group, technology, wafer size Row # 72-82 Row # 137-172 Row # 202-237 Row # 238-447 Row # 658-762 Wafer area demand by product group Area demand by group, technology, wafer size Fab demand by group, technology, wafer size New, Upgraded Fabs by group, technology, wafer size Converted, Transferred, Retired Fabs by group Row # 1387-1422 Row # 1456-1466 Row # 763-798 Row # 800-1220 ITRS product group definitions Wafer demand by group, technology, wafer size Revenue by product group Fab Capacity by group, technology, wafer size Building and Equipment Capital by group, technology, wafer size, Fab type Row #1467-1524 Row # 1525 1600 Row # 1279 1451 Row # 1221-1278 B &E Model: Row # 203-211 Yield, density by group, technology, wafer size Transistor Shipments and Productivity by group Wafer Mfg Cost by group, technology, wafer size Throughput Learning and Depreciation by group Cost / Capital by group, technology, wafer size 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 31

Tool Variables sheet: Rows 2 106 Equipment Specific Variables (down time, throughput, capital) Global Variables sheet: Rows 1 38 Global Variables (wafer starts, yield, mask data, silicon costs) Product Sheets Rows 116, 119-121 Calculation of: (per wafer size & tech. node) Total Building Capital Equip Depreciation / wafer Building Depreciation / wafer Variable Wafer Cost Products LEL, LEM, OLE, OIC by technology node and wafer size Product Sheets Rows 5-106 1st column of each node Technology Nodes 500nm - 65nm equipment uses by node/step Product Sheets Cells F 5 - DQ 106 Calculation of: (per each tool) Equipment Count Equipment Capital Equipment Footprint Product Sheets Rows 109, 113, 115 Calculation of: (per wafer size & tech. node) Total Equipment Count Total Equipment Capital Total Equipment Footprint Product Sheets Rows 122, 124-128 Calculation of: (per wafer size & tech. node) Mask Cost Resist Cost Product Sheets Rows 118 Calculation of: (per wafer size & tech. node) Processed Wafer Cost 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 32

Action Item 07/26/2001 6:08 PM j:\stndpres\template\intst.ppt - 33