Sub-threshold Design using SCL for Low Power Applications

Similar documents
Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

Low Power Design of Successive Approximation Registers

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

WHILE the energy dissipation in CMOS digital systems

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Low Power Adiabatic Logic Design

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

A Study on Super Threshold FinFET Current Mode Logic Circuits

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

0.85V. 2. vs. I W / L

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Leakage Power Reduction by Using Sleep Methods

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

A gate sizing and transistor fingering strategy for

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Low voltage, low power, bulk-driven amplifier

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

A Low Power High Sensitivity CMOS Multivibrator Based Voltage to Frequency Convertor

AnAdderwithNovelPMOSandNMOSforUltraLowPowerApplicationsinDeepSubmicronTechnology

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

A Survey of the Low Power Design Techniques at the Circuit Level

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

UNIT-1 Fundamentals of Low Power VLSI Design

International Journal of Advance Engineering and Research Development

Design of a Capacitor-less Low Dropout Voltage Regulator

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

Design of 2-bit Full Adder Circuit using Double Gate MOSFET

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers

The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic

Wide Fan-In Gates for Combinational Circuits Using CCD

Design of Full Adder Circuit using Double Gate MOSFET

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

Comparison of Power Dissipation in inverter using SVL Techniques

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

LOW POWER FOLDED CASCODE OTA

CHAPTER 1 INTRODUCTION

Low-Power Digital CMOS Design: A Survey

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

Ultra Low Power High Speed Comparator for Analog to Digital Converters

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Implementation of dual stack technique for reducing leakage and dynamic power

ECE 340 Lecture 40 : MOSFET I

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers

PROCESS and environment parameter variations in scaled

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Prof. Paolo Colantonio a.a

Contents 1 Introduction 2 MOS Fabrication Technology

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A Review on Low Power Compressors for High Speed Arithmetic Circuits

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

!"#$%&"'(&)'(*$&+,&-*.#/'0&'1&%& )%--/2*&3/.$'(%2*&+,45& #$%0-)'06*$&/0&789:&3/.$'0&;/<=>?!

Ring Oscillator Using Replica Bias Circuit

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Transcription:

Sub-threshold Design using SCL for Low Power Applications 1 Rajiv Gopal, 2 M Murali Krishna Student, Department of ECE, Asst. Professor, Department of ECE, GITAM Institute of Technology, GITAM University, isakhapatnam, India Email: 1 rajivg_0110@hotmail.com, 2 madugulamk@gmail.com Abstract Sub-threshold circuits have gained a lot of importance due to advent of ultra-low power consumption. Power dissipation and delay plays an important role in achieving optimized performance. This paper primarily focuses on Sub-Threshold Source Coupled (STSCL) for building digital circuits and systems at very low voltages with optimum performance and desirable energy savings. The performance characteristics of inverter and the basic gates are operating in the sub-threshold regionhave been analyzedin 90nm technology. These gates are further used to implement digital systems which would work at low supply voltages and consume less power. Simulation results show the advantages of STSCL over CMOS based logic. Index Terms CMOS, SCL, STSCL, Sub-CMOS, Ultra low power I. INTRODUCTION In digital design, a lot of attention has been given to the design of high performance microprocessors. However, in recent years, the demand for power sensitive designs has grown significantly. This tremendous demand has mainly been due to the fast growth of battery-operated portable applications such as personal digital assistants, cellular phones, medical applications, wireless receivers, and other portable communication devices[1], [2]. In context of these applications, digital computation using sub-threshold leakage current has gained a wide interest to achieve ultra-low power consumptions in portable computing devices. Both logic and memory circuits have been extensively studied with design consideration at various levels of abstraction. It can be concluded that using sub-threshold operation, significant power savings can be achieved in circuits using low to medium operating frequencies [1], [2]. This paper is organized as follows. The scope of subthreshold design study is presented in Section II. Section III provides an insight into Source Coupled (SCL) and its operation in sub-threshold region. In Section I, experimental results of inverters and basic gates along with further implementation into nine stage ring oscillator and 16 bit multiplierare presented. Finally, conclusions are drawn in Section. II. SUBTHRESHOLD OPERATION A. Sub-threshold Region Sub-threshold conduction refers to the current that flows between source and drain of the MOS transistor when the transistor operates in the weak inversion region. This occurs when the gate-to-source voltage, gs is below the threshold voltage, t of the MOS transistor given by gs < t. The devices work in this region and employs leakage currents to charge and discharge load capacitances. Here, the source to drain weak inversion current is the main leakage contributor, while other leakage currents such as gate tunneling current and gate induced drain leakage current are typically considered negligible. The sub-threshold current of an MOS transistor is very sensitive to temperature and process variations, which causes fluctuations in the threshold voltage of the transistor and have an exponential effect on the sub-threshold current. Equation 1 gives a simple model for the sub-threshold drain current. (1) 0 t n gs th I ds Ids exp t 1exp ds/ where, I dso is the drain current when gs = t. This equation provides an insight about how small changes in the voltages exponentially changes the drain current. Figure 1 illustrates the region of operation of digital subthreshold circuits. 76

Fig. 1. Region of operation of digital sub-threshold logic [1]. B. Critical Design Challenges of Sub-thresholdCircuits This section illustrates an interesting insight into the challenges faced while working with energy-constrained applications whilst taking advantage of sub-threshold circuits. (1) Redesigning the devices can be beneficial to the sub-threshold circuits. For increased performance, device optimization is important for sub-threshold circuits in addition to technology scaling. Process, oltage, and Temperature (PT) are more pronounced in sub-threshold circuits and there is need to come up with a novel method to combat this variability [1], [2]. (2) Though static CMOS is functional in subthreshold region, it is of not much use because of short channel effects. Other logic families which are resilient to such effects must be pursued [1], [2]. (3) In sub-threshold circuits, the supply voltage is much less than the threshold, dd < t. This attributes to an exponential increase in delayas the voltage scales, thereby increasing the leakage energy. Alternate avenues must be exploited to overcome this drawback [1], [2]. (4) Device scaling offers a reduction in gate capacitance and for super threshold circuits, it reduces switching energy and gate delay. However, for sub-threshold circuits, the case might not be the same because of exponential dependencies on dd, t and inverse subthreshold slope. So, improved scaling strategies must be introduced to tackle scaling in sub-threshold circuits [1], [2]. III. CONCEPT OF SOURCE COUPLED LOGIC (SCL) This section briefly illustrates an overview of SCL theory, topology and its behavior under sub-threshold regime. A. SCL Overview and Topology Source coupled logic, also known under the more general term current mode logic (CML) is a group of logical families that use transistor differential pairs to switch a constant bias current towards one of two branches representing the two terminals of a differential output signal. In a MOS implementation, an NMOS tail transistor biased with a constant gate voltage acts as a current source that draws a constant current from the supply. operation takes place by steering the tail current to one of the two load devices. This can be achieved by network of differential pairs controlled by the (differential) gate input voltages. The output signal is created by two resistors that convert the difference in current in their respective branches into a differential output voltage. Fig. 2. Schematic of SCL inverter. A basic SCL inverter circuit diagram can be seen in Fig. 2 which is differential in nature. The immediate choice over differential logic is due to its noise immunity respect to switching. Even though wiring differential logic is a complex task and also implementing it in the CMOS logic, put a barrier in scaling the supply voltage to a minimum level, which in current nanometer electronic platforms is a drawback. When input voltage for the NMOS N1 tops that of NMOS N2, the output voltage, out2, at that point starts to lower down and attains a steady state. During this time out1 gets charged up to the supply voltage level via PMOS P1. The output swing, SW achieved can be defined by the following, out1 out2 d ss del (2) 77 SW 2 R I 2 where I ss is the bias current, R d is the equivalent load resistance for the PMOS devices, and del is the voltage drop across the PMOS P2. This provides an additional advantage of using differential logic by having an output swing two times the actual drop across the load. The optimal performance in such a topology can be achieved, with the total current flowing through the circuit being equal to I ss and having a smaller load resistance for a smaller delay. B. Sub-threshold SCL (STSCL) In Sub-Threshold SCL (STSCL) circuits, the load is a PMOS transistor where the bulk terminal is connected to the drain (Fig. 3). This device is biased in Weak

Inversion (WI) and provides a large equivalent resistance. The logic evaluation in STSCL circuits can be performed correctly if the swing voltage is larger than about 4n T where n is the sub threshold slope factor (varies with the operating temperature and process) for NMOS devices and T the thermal voltage. Fig. 3. Conventional (left) and the STSCL load device (right). The PMOS load device that has been used for further studying the behavior of STSCL gates and its operation under sub threshold region. The PMOS load is mainly implemented by connecting the bulk of a PMOS to its drain causing a formation of reverse-biased diode; Figure 3.4 shows a cross-section view of the reverse biased diode formation between drain and the substrate of the PMOS giving a high resistance value. This high resistive value helps the SCL logic to operate at subthreshold region at a very small applied bias current. Fig. 4. Cross section of PMOS load device showing the parasitic components. The equation for the equivalent resistance of the this new PMOS load is given by, np T SD R I dnew exp( SD/ T 1 ( np 1) exp( SD/ T) 1 where, SD vsd (4) npt and I b I 0exp SG npt T 0 (5) (3) From the Eqn. 3, the R dnew can be controlled by the source to drain current flow which for an STSCL circuit is equivalent to the bias current. Also from the equation, the resistance is exponentially dependent on the source to gate voltage allowing further tune-ability of the resistive value over a large range. The tuning of the resistive value feature allow the STSCL gate to be operated at different region and conditions without modifying much of the devices internal parameters like size, etc. Fig. 5. Schematic of STSCL inverter. One more crucial thing that comes into consideration during the performance analysis, is the operation time or speed for the SCL gate running at sub-threshold or linear region. t d swing Cl Ibias oad (3) where the delay for the sub-threshold SCL is inversely proportional to bias current. The trade-off in this case is that more I bias means more power consumption The most important parameter for an STSCL gate to run properly and consume less power is to control the amount of I bias flowing through the logic. Theoretically for the STSCL, I bias can be reduced to a value closes to the sub-threshold leakage through the circuit. As mentioned above a voltage swing equivalents to 4n T is enough to drive an STSCL gate in sub-threshold region, but for maintaining controlled operation over that region requires a stable and controlled biasing. This is achieved by using a biasing circuit that will keep the swing at the output under check and on a desired value. An example of simple bias circuit used for the STSCL inverter gate is shown in Fig. 6. Fig. 6. Simple bias circuit for STSCL gates. 78

The bias circuit in Fig.6 should be attached with the STSCL gates for proper operation. The current mirror section of the bias circuit must function properly. Otherwise, if there is any small deviation on the generated bias current from the bias circuit, it will counter with a large deviation across the PMOS load devices, hence this circuit is more sensitive towards mismatch due to process deviations. It is important, prior to designing the bias circuit, that the NMOS devices in the bias circuits has to be taken of higher threshold and they should contribute low leakage, otherwise the output swing will deviate considerably and the power consumption might also increase. To redeem this problem the amplifier's gain can be tuned in such a way, that a swing higher than 4n T can be achieved, without disrupting the required performance. I. CIRCUIT IMPLEMENATION AND RESULTS In this section, performance analysis and comparison of CMOS and STSCL gates is illustrated. A. Circuit Implementation In this section, the focus will be on different gates implemented using STSCL. These logic gates are further used in digital sub systems such as 9-stage ring oscillator and a 16-bit multiplier. The following have been simulated in Cadence irtuoso in 90 nm technology. For the ring oscillator, the oscillation frequency range was observed as well as the corresponding power dissipation to find the circuit limitations and the output response for the ring oscillator at 0.5 supply Fig. 7.Schematic of 9 stage ring oscillator using STSCL logic. For 16-bit multiplier, conventional architectures are used and no power optimization techniques, for example pipelining or voltage scaling per se, are applied to any of the systems. The supply voltage used for the CMOS logic is dd =0.5 and for the STSCL it is dd =0.2. The CMOS logic did not operate correctly at supply voltages below dd =0.4. Fig. 8.Schematic of 16-bit Array Multiplier using STSCL logic. B. Experimental Results In this section, the power and delay calculations of the STSCL and CMOS based logic gates (inverter and Basic gates)are tabulated in Table 1 TABLE I INERTER CMOS 1.022 1.16 1.185 STSCL 0.52 0.69 0.359 AND/NAND GATE CMOS 1.054 1.35 1.423 STSCL 0.65 0.78 0.507 OR/NOR GATE CMOS 1.057 1.35 1.427 STSCL 0.655 0.81 0.531 XOR/XNOR GATE CMOS 1.126 2.061 2.321 STSCL 0.73 1.31 0.956 D FLIP FLOP CMOS 2.315 2.28 5.278 STSCL 1.905 1.56 2.972 79

Fig. 9. Analysis of Power Consumption and Delay for CMOS and STSCL gates at dd = 0.2 TABLE II CMOS STSCL Supply (olts) Output Frequency (GHz) 0.4 0.382 0.921 0.5 0.784 2.67 0.4 0.279 0.519 0.5 0.536 1.72 Power Consumption (µw) Fig. 10. Analysis of Power Consumption and Delay for CMOS and STSCL ring oscillator at dd = 0.4 and 0.5 The simulation results go in coherence with the basic concept of a ring oscillator where the frequency of oscillation increases with increasing the supply voltage. Even though power consumption is lower for the STSCL a difference is found in the output frequency, so to a certain extent this comparison is not viable. This is however not a matter of high concern from an application perspective, as it is less likely that the STSCL will be used for any application with operating frequency ranging above Megahertz and more likely to be used in applications related to low power issues. TABLE III Power PDP(nWs) Delay (µs) (mw) CMOS 0.849 58.47 49.641 STSCL 0.593 79.33 47.042 Fig. 10. Analysis of Power Consumption and Delay for CMOS and STSCL based 16-bit array multiplier The area (in turn, the delay)of the STSCL multiplier is considerably higher than that of CMOS because many number of transistors are used to treat differential logic (and bias circuits), but it must be remembered that the STSCL logic is being run at a very low supply voltage compared to CMOS.. CONCLUSION Sub-threshold region operation plays a very important role in order to reach a very low power-energy consumption specification. This type of specification would be used in implementation of an e.g. modern-day distributed sensor networks. Designers, nowadays, apply power reduction techniques in every level of abstraction in order to achieve optimum level of power reduction. This paper deals with power-energy reduction methods at the circuit-level abstraction. The results obtained for the STSCL based circuits show that there is indeed an average reduction of 25 30 % in energy consumption over CMOS-based counterparts, without much degradation in performance. However, the area overhead is slightly higher in STSCL because of the presence of differential logic and replica bias circuit. REFERENCES [1] M Murali Krishna, Rajiv Gopal, Comparison of Families in Sub-threshold. Proceedings of International Conference on Photonics, LSI and Signal Processing(ICSP 2014), KU Warangal. [2] Ramesh addi, S. Dasgupta, and R. P. Agarwal, Device and Circuit Design Challenges in the Digital Sub-Threshold Region for Ultra Low Power Applications. Hindawi Publishing Corp., ol. 2009. [3] Armin Tajalli, Yusuf Leblebici, Leakage Current Reduction Using Sub threshold Source- Coupled IEEE Transactions on Circuits and Systems II: Express Briefs, ol. 56, No. 5, May 2009 [4] M. Alioto, G. Palumbo, Design Strategies for Source Coupled Gates. IEEE Trans. on CAS part I, vol. 50, no. 5, pp. 640-654, May 2003. [5] Armin Tajalli, et al, Sub threshold Source- Coupled Circuits for Ultra- Low- Power Applications IEEE Journal Of Solid-State Circuits, ol. 43, No. 7, July 2008 [6] Ashutosh Nandi, Gaurav Saini, Amit Kumar Jaiswal, & Kamal Kant, Robust Sub threshold Circuit Designing Using Sub-threshold Source Coupled (STSCL) International Journal of Electronics Engineering, 2(1), 2010, pp. 219-223 [7] Mohamed Azaga, Masuri Othman, On Source Couple (SCL) Layout Proceedings of the World Congress on Engineering and Computer Science 2008 (WCECS 2008), October 22-24, 2008, San Francisco, USA [8] Massimo Alioto, Gaetano Palumbo, Design Strategies for Source Coupled Gates IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, ol. 50, No. 5, May 2003 [9] Sumit yas, and Sanjeev Rai, A Survey on Power-Delay Reduction Techniques for Ultra- Low-Power Sub threshold SCL Circuits IEEE Journal Of Solid-State Circuits, ol. 33, No. 7, July 2009 [10] Abhinav, Sanjeev Rai, Prof. Rajeev Tripathi, A Robust Design for Ultra Low Power Operation using Dynamic Threshold SCL International Conference on Computer & Communication Technology (ICCCT)-2011 80