Topography effects and wave aberrations in advanced PSM-technology

Similar documents
Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

The Formation of an Aerial Image, part 2

Reducing Proximity Effects in Optical Lithography

The Formation of an Aerial Image, part 3

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Synthesis of projection lithography for low k1 via interferometry

Extending SMO into the lens pupil domain

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Optolith 2D Lithography Simulator

Pupil wavefront manipulation for optical nanolithography

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

More on the Mask Error Enhancement Factor

Optical Proximity Effects

Horizontal-Vertical (H-V) Bias

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Using the Normalized Image Log-Slope, part 2

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Optical Projection Printing and Modeling

What s So Hard About Lithography?

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

OPC Rectification of Random Space Patterns in 193nm Lithography

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

16nm with 193nm Immersion Lithography and Double Exposure

Improving registration metrology by correlation methods based on alias-free image simulation

Optical Microlithography XXVIII

Purpose: Explain the top advanced issues and concepts in

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Optical design of a high resolution vision lens

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Immersion Lithography Micro-Objectives

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Chapter Ray and Wave Optics

Radial Polarization Converter With LC Driver USER MANUAL

Phase Contrast Lithography

Aerial image based mask defect detection in dense array structures

RECOMMENDATION ITU-R F *

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

EE-527: MicroFabrication

Optical Design with Zemax

optical and photoresist effects

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optical Design Forms for DUV&VUV Microlithographic Processes

Experimental assessment of pattern and probe-based aberration monitors

Process Optimization

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP

Computer Generated Holograms for Optical Testing

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Exercise 1 - Lens bending

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

OPC Scatterbars or Assist Features

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable.

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Optical Proximity Effects, part 2

LOS 1 LASER OPTICS SET

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam

MICRO AND NANOPROCESSING TECHNOLOGIES

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Major Fabrication Steps in MOS Process Flow

Evaluation of Technology Options by Lithography Simulation

Optical Proximity Effects, part 3

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Mirrors and Lenses. Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses.

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

ABSTRACT. Keywords: Computer-aided alignment, Misalignments, Zernike polynomials, Sensitivity matrix 1. INTRODUCTION

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

SUPPLEMENTARY INFORMATION

Chapter 15 IC Photolithography

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

FDTD Analysis of Readout Characteristics in a near-field MAMMOS recording system. Matthew Manfredonia Paul Nutter & David Wright

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Silicon Photonic Device Based on Bragg Grating Waveguide

Managing Within Budget

Optimizing FinFET Structures with Design-based Metrology

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Update on 193nm immersion exposure tool

Why is There a Black Dot when Defocus = 1λ?

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Analysis of phase sensitivity for binary computer-generated holograms

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Optics of Wavefront. Austin Roorda, Ph.D. University of Houston College of Optometry

INTRODUCTION TO ABERRATIONS IN OPTICAL IMAGING SYSTEMS

Transcription:

Header for SPIE use Topography effects and wave aberrations in advanced PSM-technology Andreas Erdmann Fraunhofer Institute of Integrated Circuits, Device Technology Division (IIS-B), Schottkystrasse 1, 9158 Erlangen, Germany, mail: andreas.erdmann@iis-b.fhg.de ABSTRACT Both mask design and quality of the projection optics have a large impact on the performance of a phase shift mask (PSM). Topographic features on the reticle such as etched trenches in alternating PSM produce a spectrum of the diffracted light which differs from that one of an infinitely thin amplitude/phase object as it is assumed in standard imaging algorithms. Many authors have investigated the consequences of this phenomenon with respect to aberration free imaging. However, the diffraction of light from topographic features implies also a modified interaction between the mask and wave aberrations of the projector. Rigorous simulation of the light diffraction from the mask is combined with standard lithography imaging algorithms to explore the interaction of topography effects and wave aberrations. For example, the nominal shift of a phase edge in the final resist profile can result both from topography effects and/or from odd-order wave aberrations such as tilt and coma. The sensitivity of typical lithographic parameters with respect to topography parameters and typical wave aberrations is investigated. PSM are also used for the monitoring of aberrations. Neglecting the topography of these phase objects may result in a misinterpretation of aberration phenomena. Consequences of diffraction defects for the design and interpretation of phase objects in aberration monitors will be discussed. Keywords: lithography modeling, phase shift mask, diffraction, wave aberration 1. INTRODUCTION The optimum performance of a lithographic process can only be guaranteed by the interplay of three almost perfect components: the mask, the projection system and the photoresist. The suppliers of these components try to do their very best. Optical proximity correction (OPC) and phase shift masks (PSM) are used to squeeze as much as possible information/diffraction orders through the numerical aperture (NA) of a projection system with vanishing wave aberrations and optimum illumination conditions. The sophisticated kinetic and diffusion processes in chemically amplified resists (CAR) transfer even the blurriest images into perfectly sharp resist patterns. Due to the complex interplay of these three components a localization of error sources in the process flow may become rather difficult. Do I have to blame the mask shop, the stepper vendor or the resist supplier for my crooked, wrongly placed resist profiles? An increased understanding of the process complexity and a reliable simulation tool can be very helpful to get an answer to that question. In this article we investigate the interplay of phase effects on the mask and in the projection system. To keep the problem manageable, we assume that the resist supplier has done a perfect job. There are two types of phase effects which are related to the mask. In PSM the phase of the light which is transmitted through open areas is deliberately modified to achieve an optimum form of the diffraction spectrum. In practice, this modification of the phase is connected with a topography of the mask. Trenches with a certain depth are etched into the nominally phase shifted areas of the PSM. The second mask related phase effect is less obvious. It results from diffraction effects. Let us consider the light diffraction from a dense lines/spaces pattern on a binary mask. In Figure 1 we have plotted the resulting diffraction efficiencies and the phases of the zero- and first diffraction order versus pitch (constant

duty ratio). The results were obtained with the classical assumption of an infinitely thin mask () and with diffraction theory, respectively. In contrast to the approach, diffraction theory predicts a variation of the diffraction efficiency with pitch. The magnitude of this variation increases with decreasing pitch. The consequences of this phenomenon on the lithographic performance were discussed in a previous paper 5. Here we will look at the phase of the diffraction orders, which is plotted in Figure 1b. The approach suggests a constant phase for all pitches. Rigorous simulation predicts an increasing variation of the phase for small pitches. In this specific case the relative phase shift between the zero and first order diffracted light results from the residual light transmission through the chromium covered areas of the binary mask. This transmission is quite small and the effect on the lithographic performance of standard binary masks is negligible. However, for more general topographies as they occur for PSM, this type of phase effects on the mask can become more important. The following sections will present several examples. efficiency.25.2.15.1.5 (a) -order 1-order -order 1-order...2.4.6.8 1. 1.2 1.4 pitch [µm] phase [ ] 9 (b) 6 3-3 -6 - and 1-order -order 1-order..2.4.6.8 1. 1.2 1.4 pitch [µm] Figure 1: Diffraction efficiencies (a) and phases (b) of the zero and first diffraction order for a dense line/space pattern on a binary mask, simulation results for the approach and for diffraction theory, vertical incident light, λ=248 nm, TE-polarization, refractive index of chromium: n=2.5-2.i, substrate SiO 2. Phase effects in imaging or projection systems are studied since the beginning of this century 13. An ideal, diffraction limited imaging system transfers a sector of a spherical wave arising from a point-shaped object into a sector of another spherical wave which converges in the image plane. (Phase) deviations of the spherical wave in the image space are considered as wave aberrations. Several authors 1,6,9 investigated the impact of these wave aberrations on the lithographic performance. As the projection systems are pushed towards their theoretical limits, residual wave aberrations become more and more important for the performance of the systems. The number of papers on that topic is continuously increasing at the last SPIE Microlithography conferences 15. In general, the importance of certain types of wave aberrations on the lithographic performance depends on the type of mask features under consideration. The mask pattern and the resulting diffraction spectrum determine which part of the projection pupil is used in the image formation. Dense features produce a diffraction spectrum with few discrete diffraction orders, whereas isolated features are characterized by a more or less continuous diffraction spectrum. In the result, the deformation of the process window due to spherical aberration tends to be more pronounced for isolated features. The special design of alternating PSM results in a reduction of the zero diffraction order. Therefore, alternating PSM tend to be less sensitive to even aberrations such as defocus and spherical aberration. Contrary, PSM can be more sensitive with respect to odd wave aberrations like coma and three-clover. The concept of weighted aberrations 1,12 is very helpful for the evaluation of corresponding effects. Phase effects on the mask and in the projection system interact in multiple ways. A change of the intensity of diffraction orders due to diffraction effects results in a modified sensitivity of the lithographic process with respect to certain wave aberrations. The phase shift of the diffracted light due to diffraction effects and the phase shift resulting from wave aberrations of the projection system may tend to compensate or to amplify each other.

Section 2 will demonstrate the importance of phase effects for the intensity balancing of dense lines/spaces pattern on alternating PSM. Afterwards, the deformation of a wave front at an isolated phase edge and the resulting lithographic performance will be investigated. Finally, section 4 will discuss the importance of the observed phenomena for the monitoring of aberrations with phase shift masks. The simulations for this paper were performed with SOLID-CTM which combines a time-domain finite difference Maxwell solver with standard lithographic imaging and resist modeling software 16. 2. DENSE LINES AND SPACES Figure 2 shows the topography of an alternating PSM for a dense lines/spaces pattern. First, we will consider the configuration without pre-etch (d P =. λ). The approach simplifies the mask topography. It replaces the true geometry of the mask by an idealised complex mask transmission. The complex transmission of neighboured spaces is phase shifted by 18. This idealised geometry implies a zero intensity of the -th diffraction order. Close to the resolution limits, only two diffraction orders, namely ±1. order contribute to the image formation. This 2-beam interference has an infinite depth of focus. In practice, the depth of focus is limited due to the finite spatial coherence of the illumination. Figure 2: Topography of the dense lines/spaces pattern on an alternating PSM, the difference between the depth of the central un-shifted feature and the outer shifted features is 2 λ (n SiO2-1), where n SiO2 =1.58 represents the refractive index of quartz at a wavelength λ=248 nm. d P symbolizes a pre-etch which is applied to both the shifted and the un-shifted features. Application of the diffraction theory to the same configuration predicts a -th diffraction order with a intensity different from zero. This -th diffraction order produces an intensity imbalancing of the aerial image and an asymmetic shift or displacement of the line pattern (see Figure 3). This effect was discovered several years ago 14, and is nowadays closely investigated 7,8,11. Here we will discuss the phase of the zero diffraction order and its impact on the intensity imbalancing. intensity 1. (a).8.6.4.2 resist height [µm].1 (b). -.1 -.2 -.3 target. -.2 -.1..1.2 x [µm] -.4 -.2 -.1..1.2 x [µm] Figure 3: Aerial image cross section (a) and resist profiles (b) for a 14 nm dense lines/spaces alternating PSM (no pre-etch) simulated with the approach and diffraction theory. Stepper: 4 KrF, NA=.63, σ=.3, TE-polarization, resist: UV6, substrate: Si, optimized ARC, all data simulated for the center of the process window.

Let us start with a general consideration of three-beam interferences. For a symmetric mask layout we can establish the following formula for the intensity of the resulting interference pattern 5 : [ 1+ cos( 2k x) ] + e + 4 e e cos( k x) ( φ) I = 2e cos 1 x 1 x (1) Here, e and e 1 represent the intensity or efficiency of the -th and ±1-th diffraction orders. φ is the phase shift between the zero-th and the two first orders. Note, that it is always possible to select the x-position of the mask in such way that the = 2π sin θ = 2π is the x component of the wave vector of the two first diffraction orders have the same phase. ( ) k x first diffraction orders. λ is the wavelength of the incident light and p is the period of the lines/spaces pattern. λ The resulting interference patterns can be seen in Figure 4. The contributions of the dominating 2-beam interference of the first diffraction orders or the first term of equation (1), and the remaining terms of equation (1) are symbolised by dashed and dotted lines, respectively. The intensities e =.4 and e 1 =.2 present typical values for the diffraction efficiency for the -th and ±1 diffraction orders of the dense lines/spaces pattern of the alternating PSM. p intensity (a): φ= 1.6 1.4 1.2 1..8.6.4.2. -.2 -.4 2 e 1 [1+cos(2 k x x)] e + 4 sqrt(e e 1 ) cos(k x x) cos( φ) total intensity -3-2 -1 1 2 3 intensity 1.2 1..8.6.4.2. (b): φ=-9 2 e 1 [1+cos(2 k x x)] e + 4 sqrt(e e 1 ) cos(k x x) cos( φ) total intensity k x x -3-2 -1 1 2 3 k x Figure 4: Three-beam interference: (a) ±1-th and -th diffraction order are in phase, (b): ±1-th and -th diffraction order are 9 phase shifted, relative intensity of ±1-th order:.2, relative intensity of -th order:.4. Figure 4a shows what happens when the three interfering waves are in phase. The interference of the -th with the ±1-th orders produces a sinusoidal pattern with a low spatial frequency. The dotted line shows the resulting intensity distribution which corresponds to the last two terms of equation (1). This low frequency pattern superposes with the dominating interference pattern between the two first diffraction orders. Therefore, we obtain the well known intensity imbalancing. Note, that there is always a x-coordinate with zero intensity. In other words, the contrast of the interference pattern is perfect. If the zero-th diffraction order is out of phase with respect to the two first diffraction orders ( φ=±9 ), the last term of equation (1) becomes zero. No imbalancing between the two maxima of the resulting total interference pattern can be observed (see Figure 4b). However, the constant terms in equation (1) reduces the contrast of the interference pattern. According to the upper considerations, there are two possibilities to cope with intensity imbalancing effects: to reduce the intensity or to apply a certain phase shift to the -th order. Both possibilities can be realised with a pupil filter for example. From theoretical point of view it is also possible to defocus the image properly, so that the -th diffraction order experiences the required phase shift. Because the balancing is bound to a special focus position, the latter possibility has no practical importance. Another way to achieve the desired parameters of the -th diffraction order is to modify the topography of the mask. Several possibilities such as pre-etching, under-etching or biasing of the features were proposed 7. Here we will look only at a simple pre-etching and analyse the properties of the diffracted light. The pre-etching is applied to both the shifted and the un-

shifted spaces of an alternating PSM (compare Figure 2). The resulting intensity and phase of the -th order diffracted light versus the depth of the pre-etch is plotted in Figures 5a and 5b. The broad grey lines mark the targets: intensity= and phase=-9, respectively. The depth of the pre-etch is specified in units of the wavelength λ..25 intensity.3(a).2.15 φ [ ] -3-6 (b).1.5...5 1. 1.5 2. pre-etch [λ] -9-12 -15..5 1. 1.5 2. pre-etch [λ] placement error [nm] 1 5 (c) -5..5 1. 1.5 2. pre-etch [λ] Figure 5: Intensity (a) and phase (b) of the zeroorder diffracted light versus the depth of preetch. The broad gray lines mark the target values. Refractive indices: SiO 2 n=1.5, Cr=.85-2.5i at l=248 nm. (c) simulated placement error of the line, σ=.3, other details of stepper and wafer configuration as given in Figure 3. According to Figure 5a, the optimum pre-etch depth is about 1.5 λ. This depth is necessary to reduce the intensity of the - th diffraction order to a minimum. Figure 5b reveals two optimum pre-etch depths. Both 1. λ and 1.5 λ result in the target phase shift of 9. The computation of the placement error versus pre-etch depth (Figure 5c) confirms the existence of the two optimum pre-etch conditions. Which one is the first choice? From technological point of view (processing/ inspectability) a smaller pre-etch depth is preferable. However, there are also other effects to consider. Figure 6 compares the process window which was computed with the assumption to these one obtained with the method for different pre-etch depths. To compensate for the overestimation of the throughput of intensity through the mask by the approach, all dose values were normalised with respect to the dose to size for the specific modeling approach. There is only a small difference between the ly simulated process window without pre-etch and the result (Figure 6a). At best focus the diffraction results only in a placement error (as shown in Figure 5c). As we move out of best focus, the phase of the -th order is shifted towards ±9. This reduces the placement error (not shown here), but also introduces a small loss of contrast. Compared to the approach, the simulation predicts a slightly decreased depth of focus. A pre-etch depth of 1. λ will compensate for intensity balancing and placement error at best focus condition. However, one has to pay for this with loss of contrast and dose latitude (see Figure 6b). Moreover, as we move out of best focus, the condition of a 9 phase shift between zero and first diffraction order is violated. This introduces a non-zero placement error for non-ideal focus conditions. At a pre-etch depth of 1.5 λ the zero-th diffraction order is practically removed. The placement error is minimal for all focus positions. The process window shown in Figure 6c does not indicate any loss in

contrast or dose latitude. As the zero diffraction order is removed, the lithographic process behaves as predicted by the approach. A certain disadvantage of the 1.5 λ pre-etch configuration is the increased dose to size (see Figure caption 6). (a) (b) normalized dose 1.1 1.5 1..95 normalized dose 1.1 1.5 1..95 CDmin CDmax CDmin CDmax.9.9-1. -.8 -.6 -.4 -.2..2.4-1. -.8 -.6 -.4 -.2..2.4 normalized dose 1.15 (c) 1.1 1.5 1..95.9-1. -.8 -.6 -.4 -.2..2.4 Figure 6: Simulated process windows for various pre-etch depths: (a) no pretch, dose to size = 23.7 mj/cm 2 ; (b) 1. λ, dose to size = 3.5 mj/cm 2 ; (c) 1.5 λ, dose to size = 33.8 mj/cm 2. All other parameters as given in Figure 5. As already mentioned above, the large pre-etch depth is difficult the realise in practice. Therefore, the mask designer has to consider other options such as the application of an under-etch or a biasing of etched features. The described methods can be very useful to evaluate these options with respect to their practical performance. A special advantage of the proposed method lies in the fact that many conclusions can already be drawn from the ly simulated diffraction spectrum. Simulation of full process windows is only necessary for a final confirmation of the results. 3. PHASE EDGE In this section we will investigate phase effects resulting from an isolated phase edge. This phase edge can be covered by a chromium line with the width w Cr. Figures 7 presents the geometry of the mask. The resulting intensity and phase of the diffraction spectrum are shown in Figures 8 and 9. Due to it`s symmetry, the isolated phase edge produces only odd diffraction orders. This statement, although derived with the approach, is also applicable to the spectra which were computed with the method. (In practice, the efficiency of all even diffraction orders computed with the method is well below.3). Therefore, we have plotted the odd diffraction orders in Figures 8 and 9 only. As can be seen in Figure 8, most of the diffracted light is distributed into orders with a diffraction angles between -3 and +3. The difference between the two simulation approaches is rather small. This phenomenon was also observed for other widths of the chromium line (w Cr.). The phase difference between the ly simulated spectrum and that one obtained with the (Figure 9) shows a more interesting behavior. In principle, it would be possible to fit this phase difference with Zernike polynomials. However, there is a fundamental difference between the phase effects from the mask and from the projection system. Due to

the sampling of the pupil with different illumination angles (partial coherence), the wave aberrations of the projection system are weighted with the form of the diffraction spectrum an the specific illumination condition. On the other hand, the phase differences which result from diffraction effects are fixed to the diffraction spectrum. The aberration introduced by the phase shift of a certain diffraction order is always weighted with the diffraction efficiency of that order. Moreover, the phase effects due to diffraction do not change with illumination condition. The latter statement is a direct consequence of the so-called Hopkins approach, which determines the diffraction spectrum for oblique incidence by a simple shift of the spectrum. Note, that the Hopkins approach may become obsolete for 1 projection systems and for extreme off-axis illumination and topographies. w Cr Figure 7: Topography of the isolated phase edge on an alternating PSM, the height of the step in the quartz substrate is 2 λ (n SiO2-1), where n SiO2 =1.58 represents the refractive index of quartz at a wavelength λ=248 nm. The nominal position of the line is at the center of the chromium line with a width w Cr. intensity.7.6.5.4.3.2.1-5. -1. -.5..5 1. sin(θ) -1. -.5..5 1. φ [ ] 2 15 1 5 w Cr [nm] 12 15 18 sin(θ) Figure 8: Diffraction spectrum of an isolated phase edge: intensity, w Cr =. nm, only odd diffraction orders are shown, even diffraction orders are below.3. Figure 9: Diffraction spectrum of an isolated phase edge: phase difference with respect to the result, only odd diffraction orders are shown. Despite of these differences between phase effects resulting from diffraction and from wave aberrations of the projection system, the general form of the diffraction spectra shown in Figure 9 reveals two interesting phenomena. First, the ly simulated spectrum is tilted. The average tilt for small diffraction orders (with high efficiencies) does not vary much with the width of the chromium line. Therefore, we can expect a virtually constant placement error. Because of the obvious bending of the wavefront one can also expect some spherical aberration. This spherical aberration should be more pronounced for larger widths of the chromium line.

Figure 1 compares the aerial images and resist profiles at best focus and dose, which were computed with the assumption and diffraction theory, respectively. The ly simulated aerial images and resist profiles are shifted about 8 nm with respect to their nominal position. This shift cannot be obtained with the approach. As can be seen in Figure 11, the placement error resulting from diffraction effects is nearly independent of the width of the chromium line. To compare the placement error due to diffraction effects with this one resulting from wave aberrations of the projection system, we have simulated the placement error for different first order wave aberrations. Comparison of Figures 11 and 12 indicates that the diffraction produces an effect which is equivalent to.22 λ tilt or.35 λ first order coma, respectively. Further simulations have shown that the placement error due to diffraction is nearly independent of the focus position and spatial coherence. Therefore, we conclude, that diffraction effects are equivalent to a simple tilt of the wavefront of the projection system. It is necessary to take into account these effects in the mask design. intensity 1.2 (a) 1..8.6.4.2. -.15 -.1 -.5..5.1.15 x [µm] resist height [µm].1. -.1 -.2 -.3 -.4 (b) target -.15 -.1 -.5..5.1.15 x [µm] Figure 1: Aerial image cross section (a) and resist profiles (b) for an isolated phase edge simulated with the approach and diffraction theory, dose to size for a 15 nm wide line: 16. mj/cm 2, 16.6 mj/cm 2, stepper: 4 KrF, NA=.63, σ=.5, TE-polarization, resist: UV6, substrate: Si, optimized ARC, all data simulated for the center of the process window. placement error [nm] 1 8 6 4 2 5 1 15 2 chrom width [nm] placement error [nm] 2 tilt (Z 2 ) 15 coma (Z 7 ) 1 5..1.2.3.4.5 Zernike coeff. [λ] Figure 11: Rigorously simulated placement error of an isolated phase edge at the center of the process window versus the width of the chromium line, an aberration free projection system was assumed for all simulations! All parameters as specified in Figure 1. Figure 12: Placement error of an isolated line w Cr =. nm for different wave aberrations, simulations were performed with the approach, all parameters as specified in Figure 1.

normalized dose 1.25 1.2 1.15 (a) 1.1 1.5 CDmin 1. CDmax.95.9 CDmin CDmax.85-1. -.5..5 1. normalized dose 1.2 (b) 1.15 1.1 1.5 1..95.9.85.8.75 -.8 -.6 -.4 -.2..2.4.6 Figure13: Process windows for an isolated phase edge simulated with the approach and diffraction theory; (a) w Cr = nm, dose to size: 16. mj/cm 2 (), 16.6 mj/cm 2 (); (b) w Cr = 15 nm, dose to size: 25.4 mj/cm 2 (), 29.3 mj/cm 2 () ; all other parameters as specified in Figure 1. Due to the bending of the wavefront shown in Figure 9 we may also expect some even aberration effects such as spherical aberration. Therefore, full process windows were simulated with the assumption and diffraction theory, respectively. The results can be seen in Figure 13. The simulation produces a slight tilt of the process window. This tilt of the process window is more pronounced for larger widths of the chromium line. Similar effects are also obtained for spherical aberrations. The deformation of the process window due to diffraction effects is approximately equivalent to a spherical aberration (Z 9 ) between.5 and.15 λ. It should be noted, that the large spherical aberration values were not only obtained with broad chromium lines, but also with non-vertical phase edges. 4. ABERRATION MONITORING WITH PSM Because of their special form of diffraction spectrum, PSM can be very useful for the development of new aberration measurement techniques. The first aberration monitor of this type was proposed by Brunner et al. 2. Basically it consists of 9 phase edges which translate even aberrations into a lateral shift of the pattern. The phase edge in Brunner`s reticle has only half of the height of the phase edge which was investigated in the previous section. Therefore, the diffraction effects are less pronounced for this configuration. For example, the shift of the line due to diffraction is around 3 nm. Otherwise, all the considerations from section 3 can be applied for this configuration as well. An interesting new method for the measurement of wave aberrations was proposed by Dirksen et al. 3,4. The performance of Dirksen`s aberration monitor relies on the imaging of a circular phase object. For an aberration free imaging, a 18 phase shifted circle with a diameter of about 4 nm prints as rotationally symmetric ring into the resist. Wave aberrations of the projection system result in a deformation of the ring. For a quantitative evaluation of the results the inner and outer contours of the ring can be written in the form 3 : [ m,c m,s ] ( z, ψ) = r (z) + r ( z) cos( mψ) + r ( z) sin ( ψ) r (2) m m where z represents the defocus, ψ is the angle with respect to a reference in the wafer plane, r m,c r m,s are the m-th order Fourier cosine and sine components, respectively. According to symmetry properties each aberration can be correlated with a specific Fourier component of equation (2). Spherical aberrations are correlated with the diameter and the width of the ring.

The special advantage of this type of aberration measurement is that the most of the aberrations are correlated with nonrotationally symmetric terms (m>) of equation (2). Therefore, the monitor is relatively insensitive with respect to diffraction effects. However, certain problems may arise for spherical aberrations which only contribute to the diameter of the ring r. Moreover, the results from section 3 have shown, that phase edges are relatively sensitive to spherical aberration. Wave aberration Fourier Coefficient Fourier Coefficient Difference () () none Width = 9. nm Width = 9. nm. nm Z5 =.5 r 2,c = -7. nm r 2,c = -6. nm 1. nm Z7 =.5 r 1,c = 23.6 nm r 1,c = 2.9 nm 2.7 nm Z9 =.5 Width = -17. nm Width = -26.9 nm 9.9 nm Z1 =.5 r 3,c = 6.7 nm r 3,c = 4.8 nm 1.9 nm Z12 =.5 r 2,c = -2. nm r 2,c = -2.1 nm.1 nm Z14 =.5 r 1,c = 25.3 nm r 1,c = 24.6 nm.7 nm Z16 =.5 Width = 12.8 nm Width = 17.9 nm 5.1 nm Table 1: Fourier coefficients and ring widths of the Dirksen aberration monitor computed with the approach and diffraction theory, respectively. The dose values were modified to obtain the same ring width without aberrations, : dose = 7.9 mj/cm 2, : dose = 1.3 mj/cm 2, all other parameters as given in Figure 14. Table 1 compares ly simulated Fourier components with such one obtained with the approach. Obviously, the largest differences are seen for the spherical aberration terms. To investigate this phenomenon in detail, we have computed the isofocal line for the width of the ring. In Dirksen`s monitor the tilt of this line is an indicator for spherical aberration. According to the approach, the isofocal line without spherical aberration should not be tilted. This is not the case when diffraction effects are taken into account. The results shown in Figure 14 indicate a constant offset of about.8 λ for the spherical aberration data obtained with the Dirksen monitor. width [µm].12.11.1 Z 9 =-.1 λ Z 9 =-.5 λ Z 9 =. λ Z 9 =+.5 λ Z 9 =+.1 λ Figure 14: Rigorously simulated isofocal line for the ring width of the Dirksen aberration monitor, diameter of the circular 18 phase object: = 375 nm, 4 KrF stepper, NA=.7, σ=.35, unpolarized light, dose=1. mj/cm 2, resist: UV5..9 -.8 -.6 -.4 -.2..2.4.6

5. CONCLUSIONS Rigorous light diffraction from the topographic features on alternating phase shift masks results in effects which may be easily misinterpreted as wave aberrations. To separate these topography effects from wave aberrations of the projection system one has to investigate the through focus behavior and the dependence of the effects on the illumination conditions. The consideration of phase effects resulting from diffraction can be also very useful for the optimization of the topography of alternating PSM. Finally, the application of diffraction theory to aberration monitors can improve the accuracy of these aberration measuring techniques. REFERENCES 1. Brunner T.A., Impact of lens aberrations on optical lithography, IBM J. Res. Dev. 41 (1997) 57. 2. Brunner T.A., Martin A.L., Martino R.M., Ausschnitt C.P., Newman T.H., and Hibbs M.S., Quantitative stepper metrology using the focus monitor test mask, Proc. SPIE 2197 (1994) 541. 3. Dirksen P., Juffermans C., Pellens R., Maenhoudt M., and de Bisschop P, Novel aberration monitor for optical lithography, Proc. SPIE 3679 (1999) 77. 4. Dirksen P., Juffermans C., Engelen A., de Bisschop P., and Muellerke H., Impact of high order aberrations on the performance of the aberration monitor, Proc. SPIE 4 (2), 9. 5. Erdmann A., and Friedrich C., Rigorous diffraction analysis for future mask technology, Proc. SPIE 4 (2) 684. 6. Flagello D., de Klerk J., Rogoff R., Davies G., Geh B., Wegmann U., Kraemer M. and Arnz M., Towards a comprehensive control of full-field image quality in optical photolithography, Proc. SPIE 351 (1997) 673. 7. Friedrich C., Mader L., Erdmann A., List S., Gordon R., Kalus C., Griesinger U., Pforr R., Mathuni J., Ruhl G., and Maurer W., Optimizing edge topography of alternating phase shift masks using mask modelling, Proc. SPIE 4 (2) 1323. 8. Gordon R.L., Mack C.A., and Petersen J.S., Design and analysis of manufacturable alternating phase shift masks, Proc. SPIE 3546 (1998) 66. 9. Gortych J.E., and Williamson D., Effects on higher order aberrations on the process window, Proc. SPIE 1463 (1991) 368. 1. Progler C., and Wheeler D., Optical lens specifications from the user`s perspective, Proc. SPIE 3334 (1998) 257. 11. Semmler A., Mader L., Elsner A., Griesinger U.A., and Noelscher C., Application of 3D EMF simulation for development and optimization of alternating phase shift masks, this volume of the SPIE proceedings. 12. Smith B.W., and Schlief R., Understanding lens aberration and influences to lithographic imaging, Proc. SPIE 4 (2) 294. 13. Strehl K., Ueber Luftschlieren und Zonenfehler, Zeitschr. F. Intrumente 22 (192) 213. 14. Wong A. and Neureuther A.R., Mask topography effects in projection printing of phase shift masks, IEEE Trans. Electron. Devices 41 (1994) 895. 15. See volumes 3334, 3679, and 4 of the SPIE Proceedings. 16. SOLID-CTM product of Sigma-C: http:\\www.sigma-c.com. The software was developed in a cooperation between Sigma-C and the Fraunhofer-Institute IIS-B. This paper was presented at the SPIE Microlithography 21 in Santa Clara (CA) and will be published in: Proc. SPIE 4346 (21)