State-of-the-art device fabrication techniques

Similar documents
Nano Fabrication. ChiiDong Chen Institute of Physics, Academia Sinica

Advanced Device Fabrication Techniques. ChiiDong Chen

Newer process technology (since 1999) includes :

Photolithography I ( Part 1 )

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Major Fabrication Steps in MOS Process Flow

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Chapter 2 Silicon Planar Processing and Photolithography

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Photolithography Technology and Application

MICRO AND NANOPROCESSING TECHNOLOGIES

Module 11: Photolithography. Lecture11: Photolithography - I

Topic 3. CMOS Fabrication Process

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Lecture 8. Microlithography

Mask Technology Development in Extreme-Ultraviolet Lithography

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

420 Intro to VLSI Design

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Photolithography II ( Part 2 )

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Sub-50 nm period patterns with EUV interference lithography

Part 5-1: Lithography

Lecture 13 Basic Photolithography

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Chapter 6. Photolithography

From ArF Immersion to EUV Lithography

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

SUPPLEMENTARY INFORMATION

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Fabrication Techniques of Optical ICs

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

SUPPLEMENTARY INFORMATION

EE 143 Microfabrication Technology Fall 2014

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

DOE Project: Resist Characterization

Micro- and Nano-Technology... for Optics

Semiconductor Technology

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

Device Fabrication: Photolithography

IH2655 Design and Characterisation of Nano- and Microdevices. Lecture 1 Introduction and technology roadmap

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Session 3: Solid State Devices. Silicon on Insulator

Nanostencil Lithography and Nanoelectronic Applications

Zone-plate-array lithography using synchrotron radiation

Semiconductor Physics and Devices

Quantized patterning using nanoimprinted blanks

CHAPTER 2 Principle and Design

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Advanced Patterning Techniques for 22nm HP and beyond

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

EE-527: MicroFabrication

Supplementary Materials for

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Optical Issues in Photolithography

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Lecture 0: Introduction

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

VLSI Design. Introduction

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

Innovation to Advance Moore s Law Requires Core Technology Revolution

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

New advances in silicon photonics Delphine Marris-Morini

VLSI Design. Introduction

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

Chapter 3 Basics Semiconductor Devices and Processing

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Module - 2 Lecture - 13 Lithography I

MICROCHIP MANUFACTURING by S. Wolf

Fault Diagnosis Algorithms Part 2

Nanofluidic Diodes based on Nanotube Heterojunctions

Electrothermal Actuator

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

Transcription:

State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry

Deposition: Thermal evaporation, e-gun deposition, DC & RF sputtering, Chemical vapor deposition (LPCVD, PECVD, APCVD) Electrochemical deposition Patterning techniques: Wet-etching isotropic anisotropic " Etching Dry-etching Reactive ion etching, RIE Inductively coupled plasma etcher, ICP Electro-cyclotron resonance etcher, ECR TCP, SWP, " " Lift-off "

Standard etching process CVD, Thermal, e-gun, Sputtering, spin-coating remove exposed part (for positive-tone PR) selective dry/wet etching spin-coating UV light remove resist mask contact, projection finished pattern mask plate

Complementary process: lift-off remove exposed part (for positive-tone PR) spin-coating Thermal, e-gun, Sputtering UV light resist mask remove excess film contact, projection finished pattern mask plate

Substrate treatment process selective dry/wet etching or doping spin-coating UV light remove resist mask mask plate Contact or Projection exposure finished pattern

Photolithography Mix and Match technology 7 mm E-beam lithography 80 µm align key align key

Moore s Law: a 30% decrease in the size of printed dimensions every two years tens of billions of instructions per second Reduced cost is one of the big attractions of integrated electronics, and the cost advantage continues to increase as the technology evolves toward the production of larger and larger circuit functions on a single semiconductor substrate. Transistor dimensions scale to improve performance, reduce power and reduce cost per transistor.

SOURCES OF RADIATION FOR MICROLITHOGRAPHY channel length Diagram by Nikkei Electronics based on materials from Intel, International Technology Roadmap for Semiconductors (ITRS), etc. http://www.newmaker.com/news_41958.html Minimum feature size is scaling faster than lithography wavelength Advanced photo mask techniques help to bridge the gap

The Ultimates of Optical Lithography Resolution: R=k 1 (&/NA) Depth of Focus DoF=k 2 (&/NA 2 ) max NA = sin' = numerical aperture K 1 = a constant for a specific lithography process smaller K1 can be achieved by improving the process or resist contrast Calculated R and DoF values UV wavelength 248 nm 193 nm 157 nm 13.4 nm Typical NA 0.75 0.75 0.75 0.25 Production value of k 1 0.5 0.5 0.5 0.5 Resolution 0.17!m 0.13!m 0.11!m 0.027!m DoF (assuming k 2 = 1) 0.44!m 0.34 nm 0.28!m 0.21!m P.F. Carcia et al. DuPoint Photomasks, Vacuum and Thin Film (1999)

Optical Proximity Correction used in 90 nm (193nm) production line Drawn structure Add OPC features Mask structure Printed on wafer Mark Bohr, Intel 2003

Two types of phase shift mask Alternating aperture phase shift mask Embedded attenuating phase shift mask Cr Shifter 0 Amplitude at mask 0 Absorbing phase-shifter 6~18% transmittance 0 Amplitude at wafer 0 1. dark line appears at the center 2. Applicable only in limited structures 0 Intensity at wafer 0 1. Can even improve DoF 2. Use MoSi x O y N z, SiN x or CrO x F y instead of Cr Ref: P.F. Carcia et al. DuPoint Photomasks, Vacuum and Thin Film (1999)

Immersion lithography! a photolithography resolution enhancement technique!a liquid medium fills the gap between the final lens and the wafer surface! the liquid medium has a refractive index greater than one.! The resolution is increased by a factor equal to the refractive index of the liquid.! Current immersion lithography tools use highly purified water for this liquid, achieving feature sizes below 45 nanometers! Currently, the most promising high-index lens material is lutetium aluminum garnet, with a refractive index of 2.14.! High-index immersion fluids are approaching refractive index values of 1.7.! These new developments allow the optical resolution to approach ~30 nm. " Double patterning has received interest recently since it can potentially increase the halfpitch resolution by a factor of 2. " This could allow the use of immersion lithography tools beyond the 32 nm node, potentially to the 16 nm node.

Double patterning For the semiconductor industry, double patterning is the only lithography technique to be used for the 32 nm and 22 nm halfpitch nodes in 2008-2009 and 2011 2012, respectively, using tools already available today. Single Exposure Dual-tone photoresist Dual-Tone Development The lowest and highest doses of a single exposure result in insolubility, while the intermediate doses allow the photoresist to be removed by developer. Two develop steps remove highest and lowest exposure dose regions of the photoresist, leaving the intermediate dose edges. http://en.wikipedia.org/wiki/double_patterning

Double Patterning Double exposure: photoresist coating; first exposure; second exposure; development Self-aligned spacer: first pattern; deposition; spacer formation by etching; first pattern removal; etching with spacer mask; final pattern Double Expose, Double Etch (lines): Photoresist coating over first pattern; photoresist features between previous features; etching; mask removal Double Expose, Double Etch (trenches): Photoresist coating over first pattern; etching adjacent to previous features; mask removal State-of-the-art 193 nm tool with a numerical aperture of 1.35 can extend its resolution to 18 nm half-pitch with double patterning. Due to this ability to use coarse patterns to define finer patterns, it offers an immediate opportunity to achieve resolution below 30 nm without the need to address the technical challenges of expensive next-generation lithography technologies such as EUV. Even electron beam lithography may eventually require double patterning (due to secondary electron scattering) to achieve comparable half-pitch resolution, for instance, in the fabrication of 15 nm half-pitch X-ray zone plates.

EUV reflective mask 13 nm EUV light reticles Cr absorber Si0 2 buffer Si capping 40 Mo/Si pairs Intel EUV mask low thermal expansion glass substrate Mo (~2.8nm) Si (~4.1nm) mask resist substrate EUV exposure absorber EUV multilayer and absorber (purple) constituting mask pattern for imaging a line. These electrons increase the extent of chemical reactions in the resist, beyond that defined by the original light intensity pattern. As a result, a secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation. EUV radiation (red) reflected from the mask pattern is absorbed in the resist (amber) and substrate (brown), producing photoelectrons and secondary electrons (blue).

EUV exposure tool Uses very short 13.4 nm light 13.4 nm radiation absorbed by all materials Requires reflective optics coated with quarter-wave Bragg reflectors Uses reflective reticles with patterned absorbers Vacuum operation Intel Corporation & EUV LLC Charles (Chuck) W. Gwyn Unique source for EUV light Cahners MDR Microprocessor Forum 2000 NXE:3100 22 February 2010 TSMC to purchase EUV lithography system from ASML

Electron Beam Lithography: (NDL), Outlook for 15nm CMOS Manufacture

Projection EBL Systems (SCALPEL): scattering with angular limitation in projection electron beam lithography beam of electrons membrane scatterer screening mask Lens 1 back focal plane filter reduced image on Lens 2

Multibeam direct-write electron beam lithography system Single source with correction lens array Multi-source with single electron optical column ~50 wafers/hr ~60 wafers/hr 2µm a tip with focus electrode M. Muraki et al. J. Vac. Sci. Technol. B 18(6), 3061, 2000 Canon Inc., E. Yin et al. J. Vac. Sci. Technol. B 18(6), 3126, 2000 Ion Diagnostics Incorporated

Parallel E-Beam Lithography MAPPER (the manufacturer) More than 10,000 parallel electron beams. Fibre-optics is capable of transporting a large quantity of information. In October 2008, Mapper and Taiwan Semiconductor Manufacturing Co. have signed an agreement, according to which Mapper will ship its first 300mm multiple-electron-beam maskless lithography platform for process development and device prototyping to TSMC.

Material Engineering gains importance!

90 nm Generation Transistor This is nano technology! source: Intel develop forum Spring, 2003

Experimental transistors for future process generations 65nm process 2005 production 45nm process 2007 production CMOS 0.8 nm conventional gate oxide 32nm process 2009 production 22nm process 2011 production Intel C. Michael Garner Sept. 2003 NanoSIG

Nano materials will play an important role in the silicon nanotechnology platform Interconnectors with high electrical conductivity Low K interlevel Dielectric High K gate oxide Strained Si J. Brinker, UNM/Sandia National Labs Photoresist 0.2 µm C. Michael Garner, Intel, Sept.16, 2003

Introduction of new materials 1st Production 1997 1999 2001 2003 2005 2007 2009 2011 Process Generation Wafer Size (mm) 0.25µ m 0.18µ m 0.13µ m 200 200 200/ 300 90 nm 65 nm 45 nm 32 nm 22 nm 300 300 300 300 300 Inter-connect Al Al Al Cu Cu Cu Cu? Channel Si Si Si Strained Si Strained Si Strained Si Strained Si Strained Si Gate dielectric SiO 2 SiO 2 SiO 2 SiO 2 SiO 2 High-k High-k High-k Gate electrode PolySi PolySi PolySi PolySi PolySi Metal Metal Metal source: Intel develop forum

Introduction of high-k gate dielectric 90 nm process Experimental high-k Capacitance 1X 1.6X Leakage 1X <0.01X Carolyn Block, Intel 2003

A message from Intel Compress P-doped regions by filling SiGe into carved trenches, hole conduction increased by 25% Stretch N-doped regions by annealing SixNy cover layer, electron conduction increased by10% Traditional MOS new PMOS new NMOS Graded SiGe layer Selective SiGe S-D Tensile Si 3 N 4 Cap Strained silicon benefits Strained silicon lattice increases electron and hole mobility Greater mobility results in 10-20% increase in transistor drive current (higher performance) Both NMOS and PMOS transistors improved Intel develop forum http://www.newscientist.com/news/news.jsp?id=ns99994493 2003-12-20

Three types of new Fully Depleted Transistors SOI wafer gate Handling Si wafer Si BOX Planar fully depleted SOI Non-planar Double-gate (FinFET) Non-planar Tri-gate

Fully Depleted Transistors made on SOI wafers Non-planar Double-gate (FinFET) Non-planar Tri-gate Raised S-D using Selective Epi-Si Deposition Robert Chau, Intel, 61 st Device Research Conference June 2003

From Tri-gate transistors to Nano-wire transistors depletion electric field Tri-gate transistor Nano-wire transistor