Advanced Packaging Solutions

Similar documents
450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Photolithography I ( Part 1 )

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Major Fabrication Steps in MOS Process Flow

Part 5-1: Lithography

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

PICO MASTER 200. UV direct laser writer for maskless lithography

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

SUSS MA200 Gen3 ENHANCED 1x FULL-FIELD LITHOGRAPHY FROM PILOT TO HIGH-VOLUME PRODUCTION

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Process Optimization

Mask Technology Development in Extreme-Ultraviolet Lithography

Market and technology trends in advanced packaging

Photolithography II ( Part 2 )

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

The Future of Packaging ~ Advanced System Integration

Innovative Mask Aligner Lithography for MEMS and Packaging

Photolithography Technology and Application

MICROCHIP MANUFACTURING by S. Wolf

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Core Business: Semiconductor-related Inspection Equipment

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Compression Molding. Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications

EE-527: MicroFabrication

Laser Application DAL7020 DFL7020 DFL7161 DFL7160 DFL7341 DFL7360FH DFL7361 DFL7560L. Ablation Process. Stealth Dicing.

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

LED Cost and Technology Trends: How to enable massive adoption in general lighting

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

MICRO AND NANOPROCESSING TECHNOLOGIES

EUV Substrate and Blank Inspection

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

PICO MASTER. UV direct laser writer for maskless lithography

i- Line Photoresist Development: Replacement Evaluation of OiR

DIY fabrication of microstructures by projection photolithography

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Advances in Laser Micro-machining for Wafer Probing and Trimming

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1

MAPPER: High throughput Maskless Lithography

Optical Microlithography XXVIII

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Diverse Lasers Support Key Microelectronic Packaging Tasks

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Lithography in our Connected World

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Lecture 5. Optical Lithography

Complete support to all your needs

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

New CD-SEM System for 100-nm Node Process

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Competitive in Mainstream Products

Optical Requirements

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE 143 Microfabrication Technology Fall 2014

State-of-the-art device fabrication techniques

CMP for Advanced Packaging

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

EUV Supporting Moore s Law

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

MANUAL HIGH PRECISION MASK & BOND ALIGNER

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION

What s So Hard About Lithography?

Micro- and Nano-Technology... for Optics

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Chapter 3 Fabrication

Application-Based Opportunities for Reused Fab Lines

Nikon Medium Term Management Plan

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Innovative Technologies for RF & Power Applications

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

New methodology for through silicon via array macroinspection

Silicon Interposers enable high performance capacitors

idonus UV-LED exposure system for photolithography

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

Transcription:

Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di LIS 350 for 300-mm Wafers and 405 x 350 mm Substrates Page 3 UX5 Series Stepper for Manufacturing High Resolution Print Circuit Boards Page 5 UDI-8001P Direct Imaging System for Manufacturing Next-Generation FC-BGA Page 6 UX4 Series Large-Area, Full-Field Projection Lithography Systems Page 8 USHIO s High-Precision Projection Lens Enabling Next-Generation Advanced Packaging Applications Page 10

USHIO s UX Series Providing Advanced Packaging Solutions Since it was established almost 50 years ago, in 1964, USHIO INC. has been delivering UV lamps for photolithography processes, VUV lamps for surface improvement, and halogen lamps for thermal processes to the global semiconductor industry. Starting with these light sources, USHIO has expanded its proprietary application technologies based on development of new light sources and lighting-edge technologies. It has developed, manufactured, and marketed a wide range of UX Series lithography systems for advanced packaging (fine-printed circuit boards), wafer-level packaging (WLP), MEMS, LEDs, and power devices, all of which are the focus of attention in the semiconductor fabrication arena. Today, more than 1,200 units of the UX Series systems are operating worldwide. Providing reliable yet high-performance lithography tools, USHIO has made a great contribution to high-volume production and significant cost reduction in manufacturing of high-end digital products including smartphones, tablet PCs, and other mobile devices. USHIO s UX Series Models Featured @ SEMICON West 2013 At SEMICON West 2013, we at USHIO are featuring four major UX Series models as Advanced Packaging Solutions that we would like to present to both our existing and potential customers. 2/10

Large-Size Interposer Stepper UX7-3Di LIS 350 for 300-mm Wafers and 405 x 350 mm Substrates Allowing Significant Reduction of Cost for Manufacturing Large-Size Interposers for 2.5D/3D Packaging As a world-premiere photolithography tool provider for 2.5D and 3D packaging solutions, USHIO leverages the industry s most advanced development capabilities to meet the increasingly sophisticated and divergent product requirements of the global semiconductor industry. The UX7-3Di LIS 350 (the first unit already booked and to be delivered to a leading advanced packaging manufacturer by the end of July) allows processing of 405 x 350 mm substrates in addition to 300-mm Si wafers. Therefore, materials including glass substrates and organic substrates also can be used as interposers. Moreover, the UX7-3Di LIS 350 has the capability to process large-size interposers at a high throughput as well as the flexibility to allow processing of substrates other than Si wafers, thus significantly reducing the cost for manufacturing interposers. UX7-3Di LIS 350: 2.5D/3D Interposer Stepper 3/10

UX7-3Di LIS 350 Features Large field size: 78 x 66 mm Can process interposer substrates made of a variety of materials other than Si, including glass and organic materials Can process large substrates of up to 300-mm wafers or 405 x 350 mm substrates High overlay accuracy of 500 nm or less Innovative alignment with IR that transmits Si to allow the bottom alignment required for TSV (Through-Silicon-Via) applications indispensable to Si interposers High throughput of 120 wafers per hour for 300-mm wafers or 90 substrates per hour for 405 x 350 mm substrates, approx. double the throughput of conventional stepper systems UX7-3Di LIS 350 Specifications Resolution: Wavelength: Overlay Accuracy: Throughput: Lens Field Size: Substrate Size: Substrate Transfer Method: Up to 2.0 µm L/S 365 nm Front <500 nm, Back <500 nm 300-mm wafers: 120 wph 405 x 350 mm substrate: 90 pph 78 x 66 mm Wafers of up to 300 mm in diameter and substrates of up to 405 x 350 mm Wafers: Automated transfer Substrates: Manual transfer 4/10

UX5 Series Steppers for Manufacturing High Resolution Print Circuit Boards The UX5 Series steppers employ maskdamage-free projection exposure and allow high overlay accuracy to be achieved by addressing expansion or contraction of substrates. The lens and alignment mechanism, light source, and substrate transfer mechanism are all modular-designed to allow future upgrades or customization of single or multiple modules. Therefore, it is not necessary to introduce a new system every time the roadmap evolves or changes, thus allowing the user to flexibly meet the required performance for efficient investment on equipment. UX5 Series Features UX5 Series: Stepper for High Resolution Print Circuit Boards Modular configuration to flexibly meet the required performance and achieve high productivity Automated operation that allows enhancement of the production availability by 65% Allows unmanned operation to further enhance product quality Max. 150% enhancement of the throughput compared with a contact exposure system Use of an ultra-low-distortion lens Able to meet the requirement of the roadmap for 2017 and beyond UX5 Series Specifications Resolution: 3.0 µm L/S Wavelength: 365 nm Overlay Accuracy: ±5 µm Throughput: 120 panels/hour Substrate Size: Max. 510 x 610 mm 5/10

UDI-8001P Direct Imaging System for Manufacturing Next-Generation FC-BGAs Direct Imaging Achieves High Resolution of 5 µm and High Throughput of 35 Seconds/Panel, Indispensable for Manufacturing of Next-Generation FC-BGAs USHIO has succeeded in developing the ultra-fine, high-speed direct imaging (DI) system UDI-8001P with a resolution of 5 µm L/S and throughput of 100 panels/hour. The UDI- 8001P can be used for manufacturing next-generation FC-BGA packages for computers and network equipment. The DI systems currently used for manufacturing high-end packages such as FC-CSPs have a resolution of 10 to 15 µm L/S, an overlay accuracy of ±10 µm, and approx. 10 alignment points. The UDI-8001P achieves a much higher throughput 100 panels/hour than conventional DI systems while offering a resolution of ±5 µm L/S, an overlay accuracy of ±5 µm, and 600 alignment points. The UDI-8001P thus allows processing of ultra-fine-pitch FC- BGA packages that could not be processed by conventional DI systems. With the addition of the UDI-8001P to the UX5/7 stepper series for advanced packaging, USHIO provides versatile packaging solutions for the packaging industry. USHIO has already developed and is now preparing for marketing the UDI-8102P, with resolution of 8 µm L/S; the UDI-8102P is suitable for manufacturing FC-CSP packages. UDI-8001P: Direct Imaging System for Manufacturing Next-Generation FC-BGAs 6/10

UDI-8001P Features Maskless direct imaging method High resolution of 5 µm L/S that allows exposure of high resolution print circuit boards High overlay accuracy of ±5 µm Ultra-high precision alignment with 600 alignment points High throughput of 100 pph UDI8001P Specifications Resolution: 5.0 µm L/S Overlay Accuracy: ±5 µm Throughput: 100 pph Number of Alignment Points: 600 7/10

UX4 Series Large-Area, Full-Field Projection Lithography Systems Optimum for Various Advanced Applications, including MEMS Devices, High- Brightness LEDs, Power Devices, and WL-CSPs USHIO provides the UX4 Series of full-field projection exposure lithography tools for wafers of up to 300 mm in diameter to manufacture MEMS devices, high-brightness LEDs, power devices, and wafer-level packages (WL-CSPs). Use of the mask-damage-free projection exposure method as well as the capability of full-field exposure of a wafer of up to 300 mm in diameter allows great enhancement of the productivity of and significant cost reduction for manufacturing the above products. UX4 Series Large-Area Full-Field Projection Lithography Systems Model Application Wafer Size UX4-MEMS FFPL200 MEMS devices Max. 200 mm UX4-LEDs FFPL200 High-brightness LEDs Max. 200 mm UX4-ECO FFPL150 Power devices Max. 150 mm UX4-3Di FFPL200/300 Wafer-level packages Max. 200/300 mm UX4 Series Common Platform: Large-Area, Full-Field Projection Lithography Systems 8/10

UX-4 Series Features Automated transfer of wafers up to 300 mm in diameter Completely non-contact so as to cause no mask damage; thus, no mask cleaning, inspection, or replacement is required Proprietary alignment technology that enables easy detection of low-visibility alignment marks Large depth of focus of 100 µm or less and special wafer chucking method allows high-precision exposure of warped or stepped substrates or thick photoresist Allows simultaneous projection of both sides of a wafer to enhance productivity Modular design of each function on a common platform allows easy future upgrades Optional backside alignment function to support LED wafer-level-packaging applications UX-4 Series Specifications by Models Model UX4-MEMS UX4-LEDs UX4-ECO UX4-3Di Resolution: 3 µm L/S 3 µm L/S 3 µm L/S 2 µm L/S Wavelength: 365 nm 365 nm 365 nm 365 nm Overlay Accuracy: ±0.5 µm ±0.2 µm ±0.5 µm ±0.5 µm Throughput: 120 wph 120 wph 120 wph 120 wph Substrate Size: 100/150/200 mm selectable 100/150/200 mm selectable 100/150/200 mm selectable 100/150/200/300 mm selectable Substrates: Sapphire, GaN, Si, GaAs, SiC, and glass wafers Substrate Transfer Automated wafer transfer on the UX4 Series platform Method 9/10

USHIO s High-Precision Projection Lens Enabling Next-Generation Advanced Packaging Applications USHIO is currently developing a large-field precision projection lens that can produce a super-high resolution of 1 µm L/S. Completion of this lens allows projection exposure of ultra-fine patterns of 1 µm L/S to meet the further requirement of finer patterns for advanced packaging. USHIO Welcomes Demonstration Requests and Inquiries about Its UX Series Products We at USHIO line up lithography systems using three exposure methods step-and-repeat, direct imaging, and full-field projection exposure to meet your application needs. To inquire about a demonstration or to request detailed information on these UX Series products, please contact: Guidry, David USHIO AMERICA, INC. Tel: 800-838-7446 e-mail:dguidry@ushio.com 10/10