Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Similar documents
Part 5-1: Lithography

Major Fabrication Steps in MOS Process Flow

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Photolithography I ( Part 1 )

Module 11: Photolithography. Lecture11: Photolithography - I

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Device Fabrication: Photolithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lecture 13 Basic Photolithography

Photolithography Technology and Application

Optical Issues in Photolithography

Photolithography II ( Part 2 )

MICROCHIP MANUFACTURING by S. Wolf

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE 143 Microfabrication Technology Fall 2014

Chapter 6. Photolithography

MICRO AND NANOPROCESSING TECHNOLOGIES

DOE Project: Resist Characterization

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

T in sec, I in W/cm 2, E in J/cm 2

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Chapter 6 Photolithography

Module - 2 Lecture - 13 Lithography I

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

T in sec, I in W/cm 2, E in J/cm 2

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Process Optimization

EE-527: MicroFabrication

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Lecture 5. Optical Lithography

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

From Sand to Silicon Making of a Chip Illustrations May 2009

Semiconductor Technology

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Contrast Enhancement Materials CEM 365HR

3.Photolithography and resist systems

Microlens formation using heavily dyed photoresist in a single step

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

Chapter 2 Silicon Planar Processing and Photolithography

A review on contemporary practices in Lithography

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

T in sec, I in W/cm 2, E in J/cm 2

Micro/Nanolithography

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Newer process technology (since 1999) includes :

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Contrast Enhancement Materials CEM 365iS

i- Line Photoresist Development: Replacement Evaluation of OiR

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Micro- and Nano-Technology... for Optics

Chapter 3 Fabrication

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

AZ 1512 RESIST PHOTOLITHOGRAPHY

CHAPTER 2 Principle and Design

Lecture 8. Microlithography

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

State-of-the-art device fabrication techniques

Optical Waveguide Types

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

Optical Requirements

DIY fabrication of microstructures by projection photolithography

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS

Intel Technology Journal

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

What s So Hard About Lithography?

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors

Exhibit 2 Declaration of Dr. Chris Mack

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

Characterization of a Thick Copper Pillar Bump Process

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

plasmonic nanoblock pair

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Welding Engineering Dr. D. K. Dwivedi Department of Mechanical & Industrial Engineering Indian Institute of Technology, Roorkee

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Optolith 2D Lithography Simulator

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Notes. (Subject Code: 7EC5)

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Synthesis of projection lithography for low k1 via interferometry

Photolithography Module

A process for, and optical performance of, a low cost Wire Grid Polarizer

Forming a vertical interconnect structure using dry film processing for Fan Out Wafer Level Packaging

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

Micro- and Nano-Technology... for Optics

Transcription:

Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1

In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages. In discussing that, we realized that the mask aligner plays a very important role in aligning the wafer with respect to the mask. In this section, we will first discuss the concept of a mask aligner in somewhat details and will also understand the common misalignments that are frequently encountered during photolithography. Figure 14.1: Possible Misalignments encountered due to alignment problems in a mask aligner 14.1 Mask Alignment and Exposure Figure 14.1 explains the possible misalignments that might be possible. The crosses of boxes in the center of the wafer and out toward both sides, as shown in the figure 14.1a is common in the industry, particularly when multi level structures are to be printed. The schematic in figure 14.1b shows correct alignment. Figure 14.c and 14.d shows possible misalignment due to lateral distortion between the mask and the substrate. Figure 14.1e shows rotational misalignment which occurs when there is an angular mismatch between the central lines of the mask and the wafer. This results in rotational misalignment. A Run out misalignment occurs mainly in projection printing, particularly if the substrate is not brought at the correct vertical elevation with respect to the second condenser lens. In fact, mask alignment becomes extremely critical as majority of the projection printing instruments operate in the step and repeat mode. 14.2 Step and Repeat Systems 2

First introduced in the early eighties, step and repeat systems (steppers) were developed to overcome some of the problems associated with projection printers. In a step and repeat system a reticle (mask) is used that contains the patterns for one or more chips but is small enough to pattern the whole wafer surface at one go. The reticle pattern is exposed onto the wafer and then the wafer stage steps the width of a patterned area and exposes the wafer again. By stepping and exposing multiple times the entire wafer area gets patterned. There are several advantages to the step and repeat approach: The patterned area on a reticle is smaller than the patterned area on a full wafer mask. The smaller area reduces the cost of reticle itself. The smaller patterned area and other stepper enhancements allow each reticle exposure to be focused and aligned to previous layers. Problems of wafer expansion or contraction relative to the mask and wafer flatness become insignificant since only part of the wafer is exposed at a time and each area is separately focused and aligned. The smaller exposure area of a step and repeat system require smaller optics simplifying high numerical aperture optics design. This also enhances resolution. Step and repeat systems used for critical patterning typically have reduction optics that reduces the reticle feature size by a fixed amount for printing on the wafer. The most common reduction ratio currently in use is 5:1, which allows reticle features to be created at 5 times the size of the desired wafer feature. Creating a reticle with larger features is easier and less expensive. One limitation of stepping systems is the size of the biggest die the system can produce. For a given lens diameter, the largest square die that will fit in the lens will have a size of 0.707D, where D is the diameter of the lens. The limits a stepper places on maximum die size were initially not an issue until the early nineties when some very large die such as microprocessors would only fit one per stepper field. It was expected that the maximum die size would soon 3

exceed the size allowed by a stepper lens but the recent increase in the rate at which minimum feature sizes are shrunk has stopped or even reversed the growth rate in die sizes Figure 14.2: Schematic of Numerical Aperture 14.3 Resolution limits for optical lithography As already discussed, the minimum feature that can be created by an optical lithography system is given by Rayleigh equation (equation 13.2), which is reproduced here, W = k 1 λ/na (13.2) Where W is the minimum feature resolution, k 1 is the resolution factor, λ is the wavelength of the exposing radiation and NA is the numerical aperture of the lens. NA = n Sin (14.1) Where n is the refractive index of the medium surrounding the lens and is the acceptance angle. One can understand the concept of NA in simple terms from the schematic shown in figure 14.2. From equation 13.2, it becomes obvious that one obvious way of reducing the feature resolution is to use lower wave length illumination source. Table 14.1 lists the minimum line width generation and exposure wavelength for state-of-the-art ICs since the mid eighties in an year wise manner. At 1.2μm and larger line widths, the G-line output of mercury lamps (λ=436 nm) was used. As the line width shrunk to 800nm, the I-line output of mercury lamps (λ=365 nm) was introduced for critical layers. The I-line continued till the 350nm line width, until the Krypton Fluoride (KrF) Excimer Lasers (λ = 248 nm) started becoming regular as the exposure source. Introduction of KrF laser also saw the use of chemically amplified photoresists, which has now become the industry standard. The use of KrF laser continued till W was down to 130nm. With 4

line widths now becoming narrower than 90 nm, KrF was finally becoming obsolete in favor of the (λ=193 nm) Argon Fluoride (ArF) Excimer lasers. However, as 248nm - chemically amplified photoresists are to absorbing at 193nm, new photoresists had to be developed for the ArF laser. With suitable NA and Photoresist, 193 nm ArF laser is capable of producing line width down to 40 nm, and is still the industry standard. Subsequent development is taking place with F2 Fluorine Excimer lasers having λ=157 nm. However, it is still in the development stage. Below the 157nm wavelength, the optical exposure systems must change to all reflecting optics due to high levels of absorption in refractive lens at shorter wavelengths. The introduction of an all reflective lens exposure system will impose large number of technical challenges. Table 14.1: Minimum Line width and exposure wavelength 1 Year Line width [W] (nm) Wavelength [λ] (nm) 1986 1200 436 1988 800 436/365 1991 500 365 1994 350 365/248 1997 250 248 1999 180 248 2001 130 248 2003 90 248/193 2005 65 193 2007 45 193 Along with decreasing λ, improvements in lens design have also led to improvements in the NA of exposure systems lens. The typical NA values were 0.4 for the I-line exposure systems. Today, with 193 nm ArF laser, NA has gone up to 0.8. The theoretical limit to NA for exposure systems is 1, if there is an air gap between the lens and the wafer. The practical limit is approximately 0.9, with recent reports suggesting that an NA of 0.93 might be achievable for ArF systems in the future. The third element in the Rayleigh equation (eqn. 13.2) is k 1, which is a complex factor of several variables in the photolithography process, involving the quality of the photoresist and the use of resolution enhancement techniques such as phase shift masks, off-axis illumination and optical 5

proximity correction. With development in Photoresist Chemistry, k 1 has also been falling and the practical lower limit for k 1 is sort of assumed to be 0.25. 14.4 Immersion lithography In the previous section we observed that the maximum possible value of NA for an exposure systems using air as a medium between the lens and the wafer is 1. In fact, NA is determined by the acceptance angle of the lens and the refractive index (RI) of the of the medium surrounding the lens, as can be seen in equation 14.2. Thus, it becomes clear that if the air between the lens and the Photo resist layer can be replaced with a material that has n >1, it becomes possible to achieve NA > 1. In fact, this principle is routinely used in microscopy. For successful integration with Photolithography, the medium between the lens and the wafer needs to have RI >1, have low optical absorption at the wavelength of irradiation, be compatible with photoresist and the lens material, be uniform and non-contaminating. This is known as immersion lithography. Ultra pure water meets majority of these requirements. It has an index of refraction n 1.47, absorption of <5% at working distances of up to 6mm, is compatible with photoresist and lens and is non-contaminating. Plugging in n = 1.47 into equation 13.2 and assuming NA 0.93, the resolution limits for 193nm immersion lithography turns out to be W = (0.25 x 193)/ (1.47 x 0.93) = 35 nm. It looks obvious that if immersion lithography is applied with 157nm exposure, then it might be possible to achieve features down to ~ 20 nm using photolithography. However, it is important to note that water is not a usable medium at 157 nm, as it exhibits significant absorption. There are a number of practical issues that hinder the industrial implementation of immersion lithography. The stage on a 193nm exposure tool steps from location to location across the wafer scanning the reticle image for each field. In order to achieve high throughput the stage must accelerate rapidly, move accurately to the next field location, settle, scan the image and then step to the next location all in a short period of time. Maintaining a consistent bubble free liquid between the lens and the wafer is very difficult and significant research is oriented towards that 6

end. Another issue that is likely to be pose significant challenge in immersion lithography is temperature control. Variations in temperature may cause variations in the RI and therefore may lead to image distortion. Maintaining temperature uniformity with a rapidly moving stage and a pulsed laser passing through the fluid is likely to be of significant challenge. 14.5 Standing Wave Effect and Post Exposure Bake Another important aspect related to photoresist exposure is the standing wave effect. Monochromatic light, when projected onto a wafer, strikes the photoresist surface over a range of angles, approximating plane waves. This light travels down through the photoresist and, if the substrate is reflective, part of the light is reflected through the resist layer. The incoming and reflected light rays interfere to form a standing wave pattern of high and low light intensity at different depths in the photoresist layer. This pattern is replicated in the photoresist, causing undulations along the sidewalls of the resist feature. Further, as the patterns become smaller, the amplitude of these undulations can become significant with respect to the feature size, affecting the quality of the replicated patterns. The interference that causes standing waves also results in a phenomenon called swing curves, the sinusoidal variation in line width with changing resist thickness. These detrimental effects are best cured by coating the substrate with a thin absorbing layer called a bottom antireflective coating (BARC) that reduces the reflectivity of the substrate to less than 1 percent. One method of reducing the standing wave effect is called the post-exposure bake (PEB), performed at temperatures in the range of 100 C 130 C. PEB results in diffusion of the photoactive compound (PAC), thus smoothing out the standing wave ridges. It is important to note that there is a detrimental effect of high temperature baking on photoresist, as it reduces the photo sensitivity. Thus, it is important to optimize the bake conditions. It has also been observed that the rate of diffusion of the PAC is dependent on the pre-bake or soft bake conditions. It is thought that the presence of solvent enhances diffusion during a PEB. Thus, a low temperature pre-bake results in greater diffusion for a given PEB temperature. 7

For a conventional resist, the main importance of the PEB is diffusion to remove standing waves. For chemically amplified resists, the PEB is the stage when the chemical reactions that create a solubility differential between exposed and unexposed parts of the resist takes place. For these resists, exposure generates a small amount of a strong acid that does not itself change the solubility of the resist. During the PEB, this photo-generated acid catalyzes a reaction that changes the solubility of the polymer resin in the resist. Thus, PEB is extremely critical for chemically amplified resists. 14.6 Development One of the last steps in the photolithographic process is development. Most commonly used photoresists use aqueous bases as developers. The characteristics of the resist-developer interactions determine to a large extent the shape of the photoresist profile, line width control and finally, the fidelity or the sharpness of the structures. The method of applying developer to the photoresist is important in controlling the development uniformity and process latitude. Initially, batch development was the common development technique, which involved developing about 10 to 20 wafers simultaneously in a large beaker, usually with some form of agitation. With subsequent technology shift towards in-line processing, continuous development has become prevalent. Presently, the spin coating platform is used for development also. During spin development the developer is poured onto the rotating wafer. The wafer is also rinsed and dried while still spinning. Spray development has been tried and have shown good results using specifically formulated developers. Using a process identical to spin development, the developer is sprayed, rather than poured, on the wafer by using a nozzle that produces a fine mist of developer over the wafer. This technique reduces developer usage and gives more uniform developer coverage. Another in-line development strategy is called puddle development, where the developer is poured onto a stationary wafer that is then allowed to sit motionless for the duration of the development time. The wafer is then spin rinsed and dried. Interstingly, all the three in-line processes can be performed in the same spin coater 8

platform with only minor modifications, and combinations of these techniques are frequently used. 14.7 Postbake The postbake (not to be confused with the post-exposure bake, which comes before development) is used to harden the final resist image so that it can withstand the harsh conditions during implantation or etching. The temperatures used is between 120 C and 150 C, which cross links the resin present in the photoresist, thus making the image or the structures on the photoresist layer thermally stable. If the temperature used is too high, the resist will flow causing degradation of the image and resulting in loss of fidelity. The temperature at which the polymer starts to flow is related to the glass transition temperature and is a measure of the thermal stability of the resist. In addition to cross-linking, the postbake can remove residual solvent, water, and gasses and improves the adhesion of the exposed resist images to the substrate. Other methods have been proposed to harden a photoresist image include exposure to high intensity deep-uv light (DUV) that is likely to crosslink the resin at the surface of the resist layer forming a tough skin around each feature. DUV hardened photoresist can withstand temperatures above 200 C without any distortion in shape and dimension of the features. Commercial DUV hardening systems are now widely used. In addition, Plasma treatments and electron beam exposure have also been shown to effectively harden photoresist. 14.8 Pattern Transfer After the patterns have been transferred on to the photoresist layer, these patterns must be transferred into the substrate, particularly to the oxide layer for micro electronic chip fabrication. There are three basic pattern transfer methods: subtractive transfer (etching), additive transfer (selective deposition), and impurity doping (ion implantation). Etching is the most common pattern transfer approach. Etching is performed either using wet chemicals such as acids, or more commonly in a dry plasma environment. The photoresist resists the etching and protects the material that is covered by the patterns. The other parts of the samples is exposed (not covred by 9

the photo resist) and therefore the oxide layer is etched away! After etching is complete, the hardened resist patterns are also stripped away, leaving the desired pattern etched into the oxide layer. Selective deposition is used only when due to material constraints etching cannot be used, an example of which can be fabrication of copper interconnects. In such a system, the lithographic pattern is used to open areas where the new layer is to be grown by electroplating. Stripping of the resist then leaves a negative replica of the patterned photoresist on the copper layer. Doping is primarily done to make the structures functional, by adding controlled amounts of contaminants that change the conductive properties of a semiconductor. Ion implantation uses a beam of dopant ions accelerated on the photoresist-patterned substrate. The resist patterns blocks the ions, but the areas uncovered interact with the ions, creating the selectively doped regions that is responsible for the electrical properties of the transistors. 14.9 Resist Strip After the patterned wafer has been processed byetching and ion implantation, the remaining photoresist is removed. In many cases, the PR layer is removed ahead of doping reaction. There are two classes of resist stripping techniques: wet stripping using organic or inorganic solutions, and dry (plasma) stripping. Organic stripping can be as simple as washing in acetone, which is rather commonly used in laboratory environments. However, acetone tends to leave residues on the wafer (scumming) and is thus is unacceptable for semiconductor processing. Most commercial organic strippers are phenol-based and are somewhat better at avoiding scum formation. However, the most common wet strippers for positive photoresists are inorganic acidbased chemicals at elevated temperatures. However, wet stripping has several inherent disadvantages. Although the proper choice of strippers for various applications can usually eliminate gross scumming, it is almost impossible to remove the final monolayer of photoresist from the wafer by wet chemical means. It is often necessary to follow a wet strip by a plasma descum to completely clean the wafer of resist residues. Also, a photoresist layer that has undergone extensive hardening such as DUV hardening and been subjected to harsh processing 10

conditions (e.g., high energy ion implantation) can be almost impossible to strip chemically. For these reasons, plasma stripping has become the standard in semiconductor processing. An oxygen plasma is highly reactive towards organic polymers but leaves most inorganic materials. References: 1. Scotten W. Jones, Photolithography, IC Knowledge LLC. 2008. 2. Peter C. Sukanek, Dependence of Film Thickness on Speed in Spin Coating, J. Elec- trochem. Soc., 136, 1712 (1991). 3. D. Meyerhofer, Characteristics of Resist Films Produced by Spinning, J. Appl. Phys., 49, 3993 (1978). 4. http://www.lithoguru.com/scientist/lithobasics.html 11