CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

Similar documents
EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

Lecture 4&5 CMOS Circuits

Digital Design and System Implementation. Overview of Physical Implementations

CMOS VLSI Design (A3425)

EECS150 - Digital Design Lecture 2 - CMOS

EMT 251 Introduction to IC Design. Combinational Logic Design Part IV (Design Considerations)

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

ELEC Digital Logic Circuits Fall 2015 Delay and Power

Lecture 19: Design for Skew

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design

Lecture #2 Solving the Interconnect Problems in VLSI

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

UNIT-III POWER ESTIMATION AND ANALYSIS

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

Energy-Recovery CMOS Design

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

An Interconnect-Centric Approach to Cyclic Shifter Design

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low-Power Digital CMOS Design: A Survey

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

RECENT technology trends have lead to an increase in

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Chapter 1 Introduction

EECS 141: SPRING 98 FINAL

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Digital IC-Project and Verification

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

High-speed Serial Interface

Interconnect-Power Dissipation in a Microprocessor

Adiabatic Logic. Benjamin Gojman. August 8, 2004

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

The Physical Design of Long Time Delay-chip

EC 1354-Principles of VLSI Design

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Lecture 9: Clocking for High Performance Processors

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Lecture 1. Tinoosh Mohsenin

Digital Integrated Circuits Perspectives. Administrivia

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

ECE 551: Digital System Design & Synthesis

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Policy-Based RTL Design

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Computer Aided Design of Electronics

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Chapter 4. Problems. 1 Chapter 4 Problem Set

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

EE141-Spring 2007 Digital Integrated Circuits

EE 5327 VLSI Design Laboratory. Lab 7 (1 week) - Power Optimization

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

Option 1: A programmable Digital (FIR) Filter

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

Design Challenges in Multi-GHz Microprocessors

Logic Synthesis. Logic synthesis transforms RTL code into a gate-level netlist. RTL Verilog converted into Structural Verilog

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

1. Partitioning the design for synthesis SYNTHESIS = TRANSLA TION + OPTIMIZA TION + MAPPING

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

EE584 (Fall 2006) Introduction to VLSI CAD Project. Design of Ring Oscillator using NOR gates

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1

Lecture 8: Memory Peripherals

An energy efficient full adder cell for low voltage

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

CS 250 VLSI System Design

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT

Lecture 11: Clocking

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Transcription:

CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer Architects need to know about physics? Physics effect: Area cost Delay performance Energy performance & cost Ideally, zero delay, area, and energy. However, the physical devices occupy area, take time, and consume energy. CMOS process lets us build transistors, wires, connections, and we get capacitors (,inductors) and resistors whether or not we want them. 2

Physical Layout Switch-level abstraction gives a good way to understand the function of a circuit. nfet (g=1? short circuit : open) pfet (g=0? short circuit : open) Understanding delay means going below the switch-level abstraction to transistor physics and layout details. 3 Gate Delay Modern CMOS gate delays on the order of a few picoseconds. (However, highly dependent on gate context.) Often expressed as FO4 delays (fan-out of 4) - as a process independent delay metric: the delay of an inverter, driven by an inverter 4x smaller than itself, and driving an inverter 4x larger than itself. For our 90nm process FO4 is around 20ps. 4

Path Delay For correct operation: Total Delay clock_period - FFsetup_time - FFclk_to_q - Clock_skew on all paths. High-speed processors critical paths have around 10-20 FO4 delays. 5 FO4 Delays per clock period FO4 Delays Historical limit: about 12 =88 B8 A8 @8?8 >8 48 78 68 =8 MIPS 2000 5 stages CPU Clock Periods 1985-2005 Pentium Pro 10 stages Pentium 4 20 stages 8 A> A? A@ AA AB B8 B= B6 B7 B4 B> B? B@ BA BB 88 8= 86 87 84 8> '$,-/)7A? '$,-/)4A? '$,-/)C-$,'3D '$,-/)C-$,'3D)6 '$,-/)C-$,'3D)7 '$,-/)C-$,'3D)4 '$,-/)',#$'3D E/CF#)6=8?4 E/CF#)6==?4 E/CF#)6=6?4 9C#"% 93C-"9C#"% 9C#"%?4 G'C( HI)IE I&J-")IK EGL)M? EGL)M@ EGL)NA?O?4 Thanks to Francois Labonte, Stanford 6

Gate Delay What determines the actual delay of a logic gate? Transistors are not perfect switches - cannot change terminal voltages instantaneously. Consider the NAND gate: Current (I) value depends on: process parameters, transistor size CL / I CL models gate output, wire, inputs to next stage (Cap. of Load) C integrates I creating a voltage change at output 7 More on transistor Current Transistors act like a cross between a resistor and current source ISAT depends on process parameters (higher for nfets than for pfets) and transistor size (layout): ISAT W/L 8

More on CL Everything that connects to the output of a logic gate (or transistor) contributes capacitance: I Transistor drains Interconnection (wires/ contacts/vias) Transistor Gates 9 Wires So far, simple capacitors: C Area = width length Wires have finite resistance, so have distributed R and C: with r = res/length, c = cap/length, rcl 2 rc + 2rc +3rc +... For short wires (between gates) R is insignificant (total RC delay << gate delay) For long wires R becomes significant. Ex: busses, clocks, reset rebuffering helps 10

Turning Rise/Fall Delay into Gate Delay Cascaded gates: transfer curve for inverter. 11 Driving Large Loads Large fanout nets: clocks, resets, memory bit lines, off-chip Relatively small driver results in long rise time (and thus large gate delay) Strategy: Staged Buffers Optimal trade-off between delay per stage and total number of stages fanout of 4 per stage 12

Components of Path Delay 1. # of levels of logic 2. Internal cell delay 3. wire delay 4. cell input capacitance 5. cell fanout 6. cell output drive strength 13 Who controls the delay? foundary engineer (TSMC) Library Developer (Aritsan) CAD Tools (DC, IC Compiler) Designer (Yunsup) 1. # of levels synthesis RTL 2. Internal cell delay physical parameters cell topology, trans sizing 3. Wire delay physical parameters place & route layout generator 4. Cell input capacitance physical parameters cell topology, trans sizing cell selection instantiation 5. Cell fanout synthesis RTL 6. Cell drive strength physical parameters transistor sizing cell selection instantiation 14

Timing Closure: Searching for and beating down the critical path? Must consider all connected register pairs, paths from input to register, register to output. Don t forget the controller. Design tools help in the search. Synthesis tools work to meet clock constraint, report delays on paths, Special static timing analyzers accept a design netlist and report path delays, and, of course, simulators can be used to determine timing performance. Tools that are expected to do something about the timing behavior (such as synthesizers), also include provisions for specifying input arrival times (relative to the clock), and output requirements (set-up times of next stage). Timing Analysis, real example The critical path Most paths have hundreds of picoseconds to spare. Late-mode timing checks (thousands) 200 150 100 50 0 40 20 0 20 40 60 80 100 120 140 160 180 200 220 240 260 280 Timing slack (ps) From The circuit and physical design of the POWER4 microprocessor, IBM J Res and Dev, 46:1, Jan 2002, J.D. Warnock et al.

Timing Analysis Tools Static Timing Analysis: Tools use delay models for gates and interconnect. Traces through circuit paths. Cell delay models capture For each input/output pair, internal delay (output load independent) output dependent delay Standalone tools (PrimeTime) and part of logic synthesis. Back-annotation takes information from results of place and route to improve accuracy of timing analysis. DC in topographical mode uses preliminary layout information to model interconnect parasitics. Prior versions used a simple fan-out model of gate loading. Lecture 04, Timing 17 delay output load CS250, UC Berkeley Fall 09 clk Hold-time Violations d FF q Some state elements have positive hold time requirements. How can this be? Fast paths from one state element to the next can create a violation. (Think about shift registers!) CAD tools do their best to fix violations by inserting delay (buffers). Of course, if the path is delayed too much, then cycle time suffers. Difficult because buffer insertion changes layout, which changes path delay. Lecture 04, Timing 18 CS250, UC Berkeley Fall 09

Conclusion Timing Optimization: You start with a target on clock period. What control do you have? Biggest effect is RTL manipulation. i.e., how much logic to put in each pipeline stage. In most cases, the tools will do a good job at logic/circuit level: Logic level manipulation Transistor sizing Buffer insertion But some cases may be difficult and you may need to help Hand instantiate cells, layout generators Lecture 04, Timing 19 CS250, UC Berkeley Fall 09 End of Physical Realities part 1 Timing Lecture 02, Introduction 1 20 CS250, UC Berkeley Fall 09