Elements of Power Electronics PART III: Digital control

Similar documents
R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

Final Exam. Anyone caught copying or allowing someone to copy from them will be ejected from the exam.

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

Advances in Averaged Switch Modeling

Another Compensator Design Example

Lecture 8 ECEN 4517/5517

DIGITAL CONTROL OF POWER CONVERTERS. 4 Advanced controllers

Digital Control Technologies for Switching Power Converters

Lecture Schedule: Week Date Lecture Title

INF4420 Switched capacitor circuits Outline

An Overview of Linear Systems

BUCK Converter Control Cookbook

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen

Research and design of PFC control based on DSP

VOLTAGE MODE CONTROL OF SOFT SWITCHED BOOST CONVERTER BY TYPE II & TYPE III COMPENSATOR

CONTROLLER DESIGN FOR POWER CONVERSION SYSTEMS

Digital PWM Controller and Current Estimator for A Low-Power Switching Converter

Lecture 4 ECEN 4517/5517

Poles and Zeros of H(s), Analog Computers and Active Filters

Magnetic Levitation System

Dr Ian R. Manchester

Introduction to Modeling of Switched Mode Power Converters Using MATLAB and Simulink

Chapter 4 Design of a Digital Tri-mode Controller

Chapter 2 MODELING AND CONTROL OF PEBB BASED SYSTEMS

Modeling of switched DC-DC converters by mixed s-z description

Quantization noise analysis of a closed-loop PWM controller that includes Σ-Δ modulation

An Accurate and Practical Small-Signal Model for Current-Mode Control

DESIGN AND IMPLEMENTATION OF TWO PHASE INTERLEAVED DC-DC BOOST CONVERTER WITH DIGITAL PID CONTROLLER

LECTURE 40 Introduction to Converter Dynamics A. AC Model Construction 1. Actual Switch mode Non-Linear System 2. Small AC Models by two Analytical

Foundations (Part 2.C) - Peak Current Mode PSU Compensator Design

CHAPTER 6 INPUT VOLATGE REGULATION AND EXPERIMENTAL INVESTIGATION OF NON-LINEAR DYNAMICS IN PV SYSTEM

Karadeniz Technical University Department of Electrical and Electronics Engineering Trabzon, Turkey

II Year (04 Semester) EE6403 Discrete Time Systems and Signal Processing

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

Course Outline. Time vs. Freq. Domain Analysis. Frequency Response. Amme 3500 : System Dynamics & Control. Design via Frequency Response

Time Domain Based Digital Controller for Buck-Boost Converter

Lecture 41 SIMPLE AVERAGING OVER T SW to ACHIEVE LOW FREQUENCY MODELS

Compensator Design for DC-DC Buck Converter using Frequency Domain Specifications. Master of Technology in

Classical Control Design Guidelines & Tools (L10.2) Transfer Functions

A New Quadratic Boost Converter with PFC Applications

Power Management for Computer Systems. Prof. C Wang

Design Type III Compensation Network For Voltage Mode Step-down Converters

DESIGN AND ANALYSIS OF FEEDBACK CONTROLLERS FOR A DC BUCK-BOOST CONVERTER

Chapter 7. Introduction. Analog Signal and Discrete Time Series. Sampling, Digital Devices, and Data Acquisition

International Research Journal of Power and Energy Engineering. Vol. 3(2), pp , November, ISSN: x

Introduction to Class-D Audio Amplifiers

The PID controller. Summary. Introduction to Control Systems

MODELLING AND DIGITAL CONTROL DESIGN OF AN INTERLEAVED BOOST PFC CONVERTER

Robust Digital Control for Boost DC-DC Converter

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard

CHASSIS DYNAMOMETER TORQUE CONTROL SYSTEM DESIGN BY DIRECT INVERSE COMPENSATION. C.Matthews, P.Dickinson, A.T.Shenton

Closed-loop System, PID Controller

Laboratory Assignment 5 Digital Velocity and Position control of a D.C. motor

DIGITAL CONTROL OF HIGH-FREQUENCY SWITCHED-MODE POWER CONVERTERS

Fast Transient Digitally Controlled Buck Regulator. With Inductor Current Slew Rate Boost. Ahmed Hashim

MODELLING AND ADAPTIVE CONTROL OF A DC-DC BUCK CONVERTER

Digitally Controlled Point of Load Converter with Very Fast Transient Response

AN2388. Peak Current Controlled ZVS Full-Bridge Converter with Digital Slope Compensation ABSTRACT INTRODUCTION

Power supplies are one of the last holdouts of true. The Purpose of Loop Gain DESIGNER SERIES

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY DIGITAL SIGNAL PROCESSING UNIT 3

Dr Ian R. Manchester Dr Ian R. Manchester Amme 3500 : Root Locus Design

is demonstrated by considering the conduction resistances and their voltage drop in DCM. This paper presents DC and small-signal circuit models of the

Lab 11. Speed Control of a D.C. motor. Motor Characterization

Lecture 48 Review of Feedback HW # 4 Erickson Problems Ch. 9 # s 7 &9 and questions in lectures I. Review of Negative Feedback

Modelling and Simulation of Closed Loop. Controlled DC-DC Converter Fed Solenoid Coil

CHAPTER 2 PWM AND PSM DC/DC BUCK CONVERTERS

Välkomna till TSRT15 Reglerteknik Föreläsning 8

CDS 101/110: Lecture 8.2 PID Control

Department of Electrical Engineering

Robust Control Applied to Improve the Performance of a Buck-Boost Converter

, answer the next six questions.

UCD3138 Control Theory UCD3138 Digital Controller Control Theory

DEVELOPMENT OF A STATE FEEDBACK CONTROLLER FOR THE SYNCHRONOUS BUCK CONVERTER

Glossary of terms. Short explanation

PYKC 7 March 2019 EA2.3 Electronics 2 Lecture 18-1

Laboratory Assignment 1 Sampling Phenomena

Outline. Discrete time signals. Impulse sampling z-transform Frequency response Stability INF4420. Jørgen Andreas Michaelsen Spring / 37 2 / 37

A Model Based Digital PI Current Loop Control Design for AMB Actuator Coils Lei Zhu 1, a and Larry Hawkins 2, b

Brief Introduction to Signals & Systems. Phani Chavali

Limit-Cycle Based Auto-Tuning System for Digitally Controlled Low-Power SMPS

332:223 Principles of Electrical Engineering I Laboratory Experiment #2 Title: Function Generators and Oscilloscopes Suggested Equipment:

Background (What Do Line and Load Transients Tell Us about a Power Supply?)

FPGA Based Digital Controller for DC-DC Buck Converter

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

AVERAGE CURRENT MODE CONTROL IN POWER ELECTRONIC CONVERTERS ANALOG VERSUS DIGITAL. K. D. Purton * and R. P. Lisner**

An Advanced Time Averaging Modelling Technique for Power Electronic Circuits. Goce Jankuloski

Current Rebuilding Concept Applied to Boost CCM for PF Correction

ECEN 5807 Modeling and Control of Power Electronic Systems

Design of a Simulink-Based Control Workstation for Mobile Wheeled Vehicles with Variable-Velocity Differential Motor Drives

Fundamentals of Power Electronics

Control Design for Servomechanisms July 2005, Glasgow Detailed Training Course Agenda

Small signal modeling and steady state stability analysis of PWM based switch model Boost converter using Pspise

Analysis of PID Controller with Auto Tuning In Digitally Controlled Boost Converter

Experimental Study Of Medium Frequency Power Supply Based On Multi-loop Feedback Control And Repetitive Control

Application description AN1014 AM 462: processor interface circuit for the conversion of PWM signals into 4 20mA (current loop interface)

LINEAR MODELING OF A SELF-OSCILLATING PWM CONTROL LOOP

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet

IEEE 802.3af DTE Power via MDI PSE-PD Inter-operate - Stability Analysis

Digital Control of MS-150 Modular Position Servo System

The University of Texas at Austin Dept. of Electrical and Computer Engineering Final Exam

Transcription:

Elements of Power Electronics PART III: Digital control Fabrice Frébel (fabrice.frebel@ulg.ac.be) September 21 st, 2017

PART III: Digital control Chapter 1: Continuous-Time Averaged Modeling of DC-DC Converters Chapter 2: The Digital Control Loop Hands-on: The complete design process PART III is based on the reference book [1] with same chapter numbering.

Chapter 1: Continuous-Time Averaged Modeling of DC-DC Converters ELEC0055: Elements of Power Electronics - Fall 2017

Digitally controlled switched-mode converters Excerpt of [1]:

Pulse width modulated converter Excerpt of [1]: We have tools to study LTI (Linear Time Invariant) systems but, pulse width modulated converter are non-linear (M(D) is often not linear) and time variant (switching).

Solving the time variance problem: averaging Excerpt of [1]: To solve the time variance, we apply the moving average operator with period T : x(t) T 1 T t+t /2 t T /2 x(τ)dτ. The goal is to obtain a model of averaged variables over a switching period, this yields for v o (t): v o (t) v o (t) Ts.

Converter averaging Excerpt of [1]: The buck converter of figure (a) can be averaged: v x (t) d(t) v g (t), ī g (t) d(t)ī L (t). The resulting averaged model is shown on figure (b).

Solving the non-linearity problem: converter linearization Excerpt of [1]: The buck converter of figure (b) can then be linearized: v x (t) =V x + ˆ v x (t) Therefore, =(D + ˆd(t))(V g + ˆ v g (t)) DV g + Dˆ v g (t) + ˆd(t)V g. ˆ v x (t) Dˆ v g (t) + ˆd(t)V g. In the same way, ˆī g (t) Dˆī L (t) + ˆd(t)I L. The result of the linearization is shown on figure (c).

Converter linearization In the following equation: x(t) = X + ˆ x(t) x(t): X : ˆ x(t): averaged value of variable x dc compopent of variable x (= operating point) small-signal value of variable x around X

Converter linearization Excerpt of [1]: The small-signal transfer function of the buck converter is: G vd (s) ˆ v o (s) 1 + sr C C =V g ˆd(s) ˆ v g =0,ˆī o=0 1 + s(r C + r L )C + s 2 LC 1 + s ω =G ESR vd0 1 + s. Qω 0 + s2 ω0 2

Converter linearization In the previous equation, the constants are defined as follows: G vd0 V g, ω ESR 1 r C C, ω 0 1, LC Q 1 L r C + r L C.

Averaged small-signal models of basic converters Excerpt of [1]: (a) Buck (b) Boost (c) Buck-Boost

State-space averaging: time variant model State-space averaging is a generalization of the averaged small-signal modeling. Let us consider a converter that evolves between two structures S 0 and S 1. The structure depends on the switches positions. The state-space equations are: dx dt =A cx(t) + B c v(t), y(t) =C c x(t) + E c v(t). x, v and y represent respectively the state, input and output vectors. A c, B c, C c, E c are matrices that model the converter for each switch position c {0, 1}. Let us now use define the PWM signal c(t) and its complement c (t) = 1 c(t). We can now rewrite the above equations. Side note: in the LCS course E matrix is named D. We keep here the E notation to avoid confusion with the duty-cycle. Example: see [1] eq 1.39, 1.40, 1.41, 1.42, 1.43, 1.44

State-space averaging: time invariant model dx dt =c(t)[a 1x(t) + B 1 v(t)] + c (t)[a 0 x(t) + B 0 v(t)], y(t) =c(t)[c 1 x(t) + E 1 v(t)] + c (t)[c 0 x(t) + E 0 v(t)]. We can apply the averaging operator. Ts on both sides of the equation and with the small ripple approximation, we get the averaged large-signal state-space equations: d x dt =[d(t)a 1 + d (t)a 0 ] x(t) + [d(t)b 1 + d (t)b 0 ] v(t), ȳ =[d(t)c 1 + d (t)c 0 ] x(t) + [d(t)e 1 + d (t)e 0 ] v(t). Thanks to the averaging, the time varying nature of the system has been removed but the equations are still non-linear.

State-space averaging: operating point The operating point can be found by solving the above equations for d x dt = 0: 0 =[DA1 + D A0]X + [DB1 + D B0]V, Y =[DC 1 + D C 0 ]X + [DE 1 + D E 0 ]V. With the following definition, A DA 1 + D A 0, B DB 1 + D B 0, C DC 1 + D C 0, E DE 1 + D E 0, we get: X = A 1 BV, Y = [ CA 1 B + E]V. The above solution is equivalent to apply the inductors volt-second balance and the capacitors charge balance under the small-ripple approximation. Example: see [1] eq 1.45, 1.46, 1.47

State-space averaging: small signal model The state equation can be linearized by defining small signals around the operating point: ˆ x(t) x(t) X, ˆd d(t) D, ˆ v(t) v(t) V. Introducing the above definitions in the averaged large-signal state-space equations, we get the small-signal equations: where, dˆ x dt =Aˆ x(t) + Fˆd(t) + Bˆ v(t), ˆȳ(t) =Cˆ x(t) + Gˆd(t) + Eˆ v(t), F (A 1 X + B 1 V) (A 0 X + B 0 V), G (C 1 X + E 1 V) (C 0 X + E 0 V).

State-space averaging: solving the small signal model We can solve the small-signal equations in the Laplace domain: sˆ x(s) =Aˆ x(s) + Fˆd(s) + Bˆ v(s), ˆȳ(s) =Cˆ x(s) + Gˆd(s) + Eˆ v(s), ˆȳ(s) =(C(sI A) 1 F + G)ˆd(s) + (C(sI A) 1 B + E)ˆ v(s) The control transfer matrix is: W(s) ˆȳ(s) = C(sI A) ˆd(s) 1 F + G. ˆ v(s)=0 The disturbance transfer matrix is: W D (s) ˆȳ(s) = C(sI A) ˆ v(s) 1 B + E. ˆd(s)=0 Example: see [1] eq 1.48, 1.49

State-space averaging: solving the small signal model Link with the LCS course: each term of W(s) and W D (s) represents the transfer function that models the effect of external inputs (duty-cycle, input voltage...) on outputs. Because there are multiple outputs and multiple inputs the system is called MIMO.

The pulse width modulator In order to transform the duty-cycle (continuous variable that has a value between 0 and 1) into binary ( ON/OFF ) signals that control power switches, we need a building block called modulator. There are two main families of PWM modulators: NSPWM: naturally sampled pulse width modulator. They process a continuous time modulating signal u(t). They are typically used in analog controllers. USPWM: uniformly sampled pulse width modulator. They process a sampled signal u[k] and generate a PWM signal updated every switching period. They are typically used in digital controllers.

Naturally sampled pulse width modulator Excerpt of [1]: d[k] = u(t k) V r G PWM (s) ˆd û = 1 V r (1)

Closed loop system Excerpt of [1]: The above figure shows a block diagram of a closed loop system. G PWM (s) is the transfer function of the PWM modulator. G vd (s) models the converter behavior. G c (s) is the compensator function to be designed. H(s) is the output voltage (current) sensor transfer function.

Definition of the loop gain Excerpt of [1]: (s) T (s) ûy = G c (s)g PWM (s)g vd (s)h(s) û x (s) ˆvref =0 For the buck converter, we obtain: T u (s) G PWM (s)g vd (s)h(s) (2) T u (s) = 1 1 + s ω G ESR vd0 V r 1 + s H(s). Qω 0 + s2 ω0 2

Link with LCS course In the LCS course, the system to be controlled is called the plant and has a transfer function P(s). In a power converter, the plant consists of the PWM modulator, the power electronics circuit and the measurement circuit: P(s) = G PWM (s)g vd (s)h(s) (3) In the LCS course, the controller has a transfer function C(s) that is named here G c (s). In the LSC course, the loop gain is called L(s), here it is called T (s).

Loop including external perturbation Excerpt of [1]:

Loop gain The uncompensated loop phase margin (at the crossover frequency) gives a stability criteria and allows to design the compensator G c (s). The reference set-point to the output transfer function is given by: G vvref,cl (s) ˆ v o (s) = 1 T (s) ˆ v ref H(s) 1 + T (s), ˆ v g (s)=0,ˆī o=0

Loop gain The sensitivity characteristics to external perturations is reduced by increasing the loop gain. Refering to the figure on the previous slide, the closed loop characteristics can be derived from the open loop characteristics: G vg,cl (s) ˆ v o (s) ˆ v g (s) Z o,cl (s) ˆ v o (s) ˆī o (s) ˆ v ref =0,ˆī o=0 ˆ v ref =0,ˆ v g =0 = G vg (s) 1 + T (s), = Z o(s) 1 + T (s). From the above relations, the goal is to get T (s) as large as possible on a large bandwidth while maintaining a good phase margin.

Relation between phase margin and stability Excerpt of [2]: For open loop characteristics with a loop gain that falls by -20 db/decade when T (s) amplitude approaches 1, the phase margin directly affects the quality factor of the closed loop (second order) system response. ELEC0055: Elements of Power Electronics - Fall 2017

Relation between phase margin and stability Excerpt of [2]: The step response of the closed loop (second order) system is directy related to the quality factor. The choice of a phase margin of 52 is now explained.

Analog control loop design procedure 1. Determine G PWM (s) using equation 1. 2. Determine H(s) based on the specifications/design of your sensor. 3. Detemine the transfer function of your converter with the presented modeling techniques. 4. Trace the Bode Plots (MATALB) for T u (s) (equation 2) for different operating points (input voltage, load). 5. Choose the cross-over frequency f c typically 1/10 of the switching frequency. 6. Choose the target phase margin φ m typically > 52. 7. Choose your compensator: if DC error has to be canceled, use a PI or PID, if phase margin has to be increased, use a PD or PID, if phase margin is already 90, use a P or PI. 8. For the integrator term, choose a corner frequency that is 1/10 of the choosen cross-over frequency f c.

Chapter 2: The Digital Control Loop Digital control of switched mode converters introduces two differences in comparison to analog control: Time quantization: the controller samples values of analog variables, processes them to evaluate the modulation (duty-cycle) and apply it for one sampling period. Amplitude quantization: analog variables are sampled with finite resolution analog-to-digital converters, they are therefore quantized. There are different approaches to model switching converters. The approach presented here is based on the averaged model.

Example: digital voltage-mode control Excerpt of [1]: The sampled signal is defined by: v s [k] v s (t k ). The most common choice for the sampling period is : T = T s, where T s is the switching period.

A/D conversion Excerpt of [1]: Sampling process Amplitude quantization Conversion delay t A/D The sampling process moves the modeling problem from the analog to the digital world. The amplitude quantization makes the problem non linear. The delay modifies the dynamics. Example: show captured data.

Sampling rate different from f s Excerpt of [1]: Alias of the high frequency content of the analog signal is present in the sampled signal (for example at f s ). Large digital filtering efforts are therefore required.

Sampling rate equal to f s Excerpt of [1]: Alias of the high frequency content of the analog signal is present in the sampled signal but only at DC. No filtering efforts is needed, only DC compensation is required.

Sampling strategy to avoid DC alias Excerpt of [1]: For triangular waveforms, the most common solution is to sample the analog signal in the middle of the ramp. This suppresses DC aliasing effect.

Amplitude quantization Excerpt of [1]: The A/D converter linear range is divided into 2 n A/D bins. Each bin is q v (A/D) s volts wide: q (A/D) v s = V FS 2 n A/D where V FS is the full scale voltage. The figure shows the quantization characteristic Q A/D [.]: vs [k] Q A/D [v s [k]] = q v (A/D) s ṽ s [k] where v s [k] is the quantized signal, v s [k] is the analog signal and ṽ s [k] is the binary coded signal.

The digital compensator Excerpt of [1]: The analog signal v s (t) is sampled and quantized in v s [k] after the t A/D conversion delay. The compensator uses this sampled signal to generate the new PWM command u[k] after the calculation delay t calc. A linear and time-invariant compensation law is described by a difference equation: u[k] = a 1 u[k 1] a 2 u[k 2]... a N u[k N] + b 1 e[k 1] + b 2 + e[k 2]... + b M e[k M] The PID compensation law is a praticular case of the above equation and will be presented. ELEC0055: Elements of Power Electronics - Fall 2017

The PID compensator (additive form) Excerpt of [1]: The PID law is given by: u p [k] =K p e[k], u i [k] =u i [k 1] + K i e[k], u d [k] =K d (e[k] e[k 1]), u[k] =u p [k] + u i [k] + u d [k]. The z-transform of the above laws gives the transfer function of the PID compensator: G PID (z) U(z) E(z) = K p + K i 1 z 1 + K d(1 z 1 ) How can we determine the digital coefficients K p, K i and K d?

Bilinear mapping The coefficients can be determined by using the classical compensation techniques in the s-domain. For that purpose the G PID (z) function can be transformed using: z = e st (4) This transformation is not easy because it transforms G PID (z) in a transcendental function of s. The bilinear transformation is a convenient approximation: z(s) 1 + s T 2 1 s T 2 s(z) 2 T 1 z 1 1 + z 1 (5)

Bilinear mapping The bilinear mapping has the following properties: It is a rational transformation. Stability limits are conserved: the unit circle ( z = 1) in the z-domain is mapped on the y axis in the s-domain. Some frequency wrapping is introduced (due to the approximation) but it yields less than 10% error for frequencies below 1 1 6 T. Excerpt of [1]:

PID transformation with the bilinear mapping Application of the bilinear transformation allows us to work in the s-domain and to use the classical analog design tools. G PID (z) U(z) E(z) = K p + K i 1 z 1 + K d(1 z 1 ) is transformed to G PID U(s) (s) E(s) = K p + K i T 1 + s ω p s + K d T s 1 + s ω p, where ω p 2 T. It should be noted that ω p appears when converting G PID (z) in the s-domain and there is no freedom on the value of ω p.

PID transformation with the bilinear mapping On the previous slide, K i is the digital coefficient of the integrator. In the s-domain, it is divided by T. This can be explained physically as follows: if T is for example increased, the digital accumulation (u i [k] = u i [k 1] + K i e[k]) will be performed less often due to the larger sampling period T. This is equivalent to a slower integral in the s-domain that is represented by a lower analog integrator gain. In a similar way, K d is the digital coefficient for the derivative part. When transformed in the s-domain, it is multiplied by T. This can be explained physically as follows: if T is for example increased, the digital derivative term (u d [k] = K d (e[k] e[k 1])) will performed on a larger sampling period T. Therefore, the estimation of the error variation will be taken on sample e[k] and e[k 1] that are more spaced in time. This will amplify the value of e[k] e[k 1] which is equivalent to a multiplication by T in the s-domain. The multiplicative form of the PID compensator is easier to use and equivalence relation exists (see next slides).

PID compensator in multiplicative form is equivalent to with, G PID (s) = K p + K i T 1 + s ω p s + K d T G PID (s) = G PI (1 + ω PI s )G PD0 1 + s ω PD 1 + s ω p s 1 + s ω p (6) K p =G PI G PD0 (1 + ω PI 2ω PI ), ω PD ω p (7) K i =2G PI G PD0 ω PI, ω p (8) K d = 1 2 G PI G PD0 (1 ω PI ω p )( ω p ω PD 1). (9)

PID bode plot Excerpt of [1]:

PI (lag) compensator in multiplicative form is equivalent to with, G PI (s) = K p + K i T 1 + s ω p s G PI (s) = G PI (1 + ω PI s ) (10) K p =G PI (1 ω PI ), ω p (11) K i =2G PI ω PI. ω p (12)

PD (lead) compensator in multiplicative form is equivalent to with, G PD (s) = K p + K d T G PD (s) = G PD0 1 + s ω PD 1 + s ω p s 1 + s ω p (13) K p =G PD0, (14) K d = 1 2 G PD0 ( ω p ω PD 1). (15)

The digital pulse width modulator Excerpt of [1]: Digital modulator are based on a digital counter. The higher the counter clock (T clk ), the higher the resolution. The behavior of the digital PWM is given by: T s =N r T clk, d[k] = u[k] N r, q D = T clk T s = 1 N r.

Loop delays Different delays exist between the sampling of the analog signal up to the generation of the PWM: Control delay (t cntrl ): FPGA based controller: all calculations are performed in //, the processing delay is negligible and the only delay is the A/D conversion delay occuring between the sampling of the analog signal and the availability of the sampled version vs [k]. DSP (CPU) based controller: several instructions are needed and there is an extra delay to be taken into account. Modulation delay (t DPWM ): the PWM modulator has an intrinsic delay due to the PWM process itself. The total delay induced by the control process is modeled: t d = t cntrl + t DPWM e st d.

Loop delays Excerpt of [1]: A typical software based controller is shown. The A/D sampling and the controller calculations are performed during one switching period (= t cntrl ). The PWM delay (t DPWM ) occurs after the control delay.

DPWM delay Excerpt of [1]:

Total loop delay The total loop delay is defined by: t d t cntrl + t DPWM. This total delay is modeled in the s-domain with e st d. Before estimating compensation factors, the loop gain is corrected to take this delay into account: T u(s) T u (s)e st d

Digital control loop design procedure 1. Model the loop as in the analog control loop design but with the delay corrected loop gain T u(s). 2. Design the compensation as in the analog control loop design using the chosen compensator (equation 6, 10 or 13). 3. Once the controller coefficient are determined, transform them to their digital version (equation 7 to 9, 11 to 12 or 14 to 15). 4. Implement the control law in the digital processor. It should be noted that the above design procedure is valid if the sampled signal is a good representation of the averaged signal. This assumption is the small-aliasing approximation expressed mathematically by: v s [k] v s (t k ). If it is not the case, discrete-time modeling techniques have to be used.

The integral windup problem (example) Excerpt of [1]: For V g = 4V, at 0µs, the current rises to 10 A. The controller reacts quickly to the induced v o (t) change and u[k] quickly reaches its saturation point. However, v o (t) is still under the set point and the integrator continues to integrate. When v o (t) starts to rise again, the integrator is well above 1 and forces u[k] to stay at 1 and creates an unexpected lag in the reaction of the crontroller finally creating a v o (t) overshoot.

Actuator saturation and integral anti-windup The solution to the windup problem is to: Saturate all variables to avoid numeric issues. Especially saturate u[k] between the min/max duty-cycle. Stop integration when u[k] reaches its saturation limits: Excerpt of [1]: { 0 if 0 upid [k] 1, sat[k] = 1 otherwise.

Hands-on: The complete design process ELEC0055: Elements of Power Electronics - Fall 2017

References [1] P. M. Luca Corradini, Dragan Maksimović and R. Zane, Digital Control of High-Frequency Switched-Mode Power Converters. Wiley-IEEE Press, 2015. [2] R. W. Erickson and D. Maksimović, Fundamentals of Power Electronics. Kluwer Academic Publishers, second ed., 2001.