Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Similar documents
immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optical Microlithography XXVIII

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Update on 193nm immersion exposure tool

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Major Fabrication Steps in MOS Process Flow

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

From ArF Immersion to EUV Lithography

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Synthesis of projection lithography for low k1 via interferometry

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

MICROCHIP MANUFACTURING by S. Wolf

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

(Complementary E-Beam Lithography)

Photolithography Technology and Application

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Process Optimization

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

OPC Rectification of Random Space Patterns in 193nm Lithography

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Experimental measurement of photoresist modulation curves

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Double Exposure Using 193nm Negative Tone Photoresist

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Part 5-1: Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

DOE Project: Resist Characterization

Microlens formation using heavily dyed photoresist in a single step

Characterization of e-beam induced resist slimming using etched feature measurements.

Photolithography II ( Part 2 )

1. INTRODUCTION ABSTRACT

Business Unit Electronic Materials

Reducing Proximity Effects in Optical Lithography

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Beyond Immersion Patterning Enablers for the Next Decade

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Lecture 5. Optical Lithography

Near-field optical photomask repair with a femtosecond laser

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

What s So Hard About Lithography?

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Pellicle dimensions for high NA photomasks

Micro- and Nano-Technology... for Optics

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Photolithography I ( Part 1 )

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Immersion Lithography Micro-Objectives

Anti-reflective coating for multipatterning lithography

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Comparison of actinic and non-actinic inspection of programmed defect masks

16nm with 193nm Immersion Lithography and Double Exposure

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Managing Within Budget

Registration performance on EUV masks using high-resolution registration metrology

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

EUV Substrate and Blank Inspection

MICRO AND NANOPROCESSING TECHNOLOGIES

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Device Fabrication: Photolithography

Exhibit 2 Declaration of Dr. Chris Mack

Optical Issues in Photolithography

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Advanced Patterning Techniques for 22nm HP and beyond

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

Optics for EUV Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography

Lecture 13 Basic Photolithography

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Metrology in the context of holistic Lithography

Lithography on the Edge

Sub-50 nm period patterns with EUV interference lithography

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Transcription:

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check names] a Wafer Inspection Division, Process Diagnostics and Control, Applied Materials (Israel) b Qimonda, North America Corp., 255 Fuller Road, Albany NY c ASML, 25 Corporate Circle, Albany NY 12203 d Albany NanoTech Complex, 255 Fuller Road, Albany NY ABSTRACT Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR s. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Defect material analysis was performed for different defects. Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production. Keywords: Lithography, Immersion lithography, Inspection, Wafer inspection, Process control, DUV, Topcoat, Defects. *erez_golan@amat.com; www.amat.com 1. INTRODUCTION Lithography has been traditionally at the forefront of wafer manufacturing technology. In the sub-wavelength era, where the smallest printed features are smaller than the illuminating wavelength, lithography is facing increasingly complex challenges arising from design rule (DR) shrinkage, the introduction of new processes and the tightening of the process windows. Design rule shrinkage leads to smaller killer defects, and the introduction of new processes leads to the formation of new defect types, most recently immersion related defects. The significant progress in lithography technology has been made through increase of the scanner projection lens numerical aperture (NA), and the use of shorter illumination wavelengths, ranging from 365nm (Hg i-line) through 248nm (KrF) and down to 193nm (ArF) [1]. The significant progress in lithography technology has also introduced new challenges associated with production yield, as defects as small as half of the DR can have a strong impact the yield. Consequently, lithography steps are followed by critical monitoring for pattern registration, critical dimension measurements, and defect inspection, to carefully monitor the production process and to minimize the deleterious impact on the yield. Consequently, lithography steps are followed by critical monitoring for pattern registration, critical dimension measurements, and defect inspection, to carefully monitor the production process and to minimize the detrimental impact of process excursions on the production yield. When excursions are identified, the resist layer will be stripped and lithography be reworked to avoid yield loss. It is for these reasons that lithography defect inspection is becoming critical for yield

Significant effort has been made in recent years towards realization of immersion lithography to enable extending optics lithography down to the 32nm node [2-3]. While significant progress in immersion lithography has been made, several key technology issues must be addressed, including the critical issue of immersion lithography process induced defects. Clearly, the benefits of the immersion lithography, such as optical resolution and depth of focus, cannot be traded with increased defect counts and decreased production yield. Hence, it will be necessary to monitor and control, as well as to minimize, immersion lithography defects counts down to acceptable levels. Understanding the role of immersion lithography parameters on defects formation, types and counts is essential. This in turn presents new challenges for inspection tools for lithography immersion layers. In particular, high sensitivity detection schemes would be required to detect small, low contrast, immersion lithography defects. By and large, optical inspection systems are divided into bright field (BF) and scattered light or off-axis collection (3D) schemes. For a BF scheme the detection sensitivity generally depends on the optical resolution that is proportional to λ/na, where λ is the illuminating wavelength. It follows that in general, a DUV tool will have higher resolution than a UV or visible wavelengths tools with similar NA's. Scattered light schemes are generally used for inspection of memory arrays, often combined withspatial filtering techniques. Here, larger defect scattering cross-section is generally observed at DUV compared with UV or visible wavelengths. It is for these reasons that advanced inspection tools are introducing shorter wavelengths, following the wavelength trends of lithography tools. Further, it is advantageous that optical inspection systems will combine both BF and 3D schemes, to provide high detection sensitivity for a variety of defect types and patterns. In this paper we report on the role of immersion lithography parameters on defects types and counts as detected at the DUV wavelength (266nm), with the objectives of a) characterizing the benefits of DUV inspection on immersion lithography layers, and b) characterizing the immersion defectivity of lithography layers as a function of the photoresist type, with and without topcoat, post apply bake (PAB) temperatures and wet vs. dry exposures. This paper is organized as follows: Section 2 describes the work methodology; results are presented in Section 3 and discussed in Section 4. 2. METHODOLOGY 2.1 Test Wafers Preparation A set of 25 test wafers was prepared at Albany Nanotech complex for the tests. The immersion wafers were exposed using the 1150i-α immersion scanner (ASML). The dry wafers were exposed using the 1200 dry scanner (ASML). The printed pattern consisted of a dense lines/spaces structure with 110nm half pitch, which was prepared using two different types of photoresist, with and without TC, and processed at three PAB temperatures, as shown schematically Fig. 1: Table. 1. Test wafer parameters used for the tests.

The immersion and dry scanner parameters and test wafer parameters are presented in Table 1: Table. 1. Immersion and dry scanner parameters and test wafer parameters used for the tests. Scanner ASML 1150i at 50mm/s scan speed ASML 1200B at 320mm/s scan speed Reticle AttPSM, 110nm line/space structure, 4 4 array Settings 2/3 Ann.; σi=0.55; σo=0.85; NA=0.75; optimal dose and focus Track Online: TEL-Lithius Substrate Virgin silicon wafers, pre-count <50 (@ 120nm sensitivity) BARC 90nm, PAB @ 215 C, 60s Resist POR 200nm; PAB @ 120 C, 60s; PEB @ 110 C, 60s 200nm; PAB @ 110 C, 60s; PEB @ 120 C, 90s Top coat 30nm, PAB @ 90 C, 60s Developer Standard with surfactant, DI water rinse 2.2 Wafer Inspection and Defect Review Defect inspection was performed using a BF/3D DUV inspection tool (UVision TM, Applied Materials). A simplified schematic layout of the tool is shown in Fig. 2. A DUV 266nm CW laser is used as the light source, to enable high optical resolution in BF mode, and high scattering cross-section in 3D mode. A multi-beam scanning module splits the incoming laser beam into an array of parallel scanning beams to enable high scanning rate and high throughput (TPT). A high NA objective lens is used to focus the scanning beams onto the wafer. A telescope turret (not shown) enables the selection of the illumination spot size to optimize the detection TPT per each DOI. The reflected and the scattered light are directed towards the BF channel and the 3D channel. Arrays of photomultiplier tubes (PMT) detectors are used to enable high sensitivity high data rate detection. Polarization control modules are used to control the illumination polarization and the BF and 3D collection polarization for optimal light penetration into the structure and noise suppression to enhance the detection sensitivity [2]. Spatial filtering in the 3D channel is realized by using Customized Light Collection TM (CLC, not shown). DUV 266nm CW laser Multibeam scan module Polarization control BF channel 3D channel Polarization control Polarization control High NA objective Wafer Fig. 2. A schematic layout of the UVision TM DUV inspection tool. SEM review for defect classification and defect material analysis was performed (SemVision G2 TM, Applied Materials). Prior to the DUV inspection, a DUV damage test was performed on the lithography layers to set the illumination conditions. No apparent damage to the pattern was observed.

3. RESULTS 3.1 Defect Review and Classification The DUV inspection tool defect maps were used to perform SEM defect review and classification. A variety of defects was detected on the immersion wafers, as shown in Fig. 3. As apparent, both immersion and non-immersion specific Defect of Interested (DOI) were detected, with defects as small as ~30nm being detected, indicating on the high detection sensitivity at the DUV wavelength on such layers. 3.2 Defect Count Trends Fig. 3. SEM images and defect classification. Figure 4 presents defect count trends for different immersion parameters. Fig. 4. Defect count trends.

The following are observed: Lowest defect count using resist 2 is observed when no TC is used and the system is exposed on a dry scanner. With TC, still exposing dry, increases the defect count by five fold. Surprisingly, in this experiment, the wet exposure of the resist with TC had two fold lower defect count than the dry exposure on the same resist. Comparing the effect of PAB on defectivity for the two resists shows a strong impact on the total defect count for resist 1 and a smaller effect for resist 2. While low PAB significantly improves the defectivity for resist 1, resist 2 shows a slight increase in total defect count at low PAB. PAB in both cases affects the total defect count but not the random defect count. Figure 5 presents a signal-to-noise ratio (SNR) analysis for the inspection tool parameters used for the tests. As shown, for all defect types, highest SNR was achieved with the 3D channel with 120-160nm pixel, together using polarization control and spatial filtering (CLC). Fig. 5. Signal-to-Noise Ratio analysis for the inspection tool parameters used for the tests. 3.3 PAB Effects The defect count distributions for the Resist 1 POR and the Resist 2 POR as a function of the PAB temperature are shown in Fig. 6 and 7, respectively. Fig. 6. Defect count distribution as a function of the PAB temperature resist 1 POR.

Fig. 7. Defect count distribution as a function of the PAB temperature resist 2 POR. The following are observed: Resist1 POR - Lower defect count with lower PAB temperature is a result of higher protrusion cluster defects observed at POR bake condition, while low PAB temperature shows comparable count for the rest of defect types. Resist 2 POR - Comparable defect count for all classes except protrusion cluster which are higher for low PAB conditions. 3.4 Photoresist Effects The photoresist effects on defect count are shown in Fig. 8. Fig. 8. Photoresist effects. Random defect counts are comparable. The difference in total defect count mainly due to differences in protrusion cluster defects.

3.5 Immersion Exposure vs. Dry Exposure As seen in figure 4, the lowest defect count on resist 2 is observed with dry exposure without TC. Both exposures of resist 2 (wet and dry) with TC have larger defect count, with the dry exposure having significantly higher defect count than the wet exposure. We want to further examine this point by including the classification details. The total defect count and the defect count distribution for the immersion and dry exposures are shown in Figs. 9 and 10, respectively Fig. 9. Total defect count for the immersion and dry exposures. Fig. 10. Defect count distribution for the immersion and dry exposures. Dry exposure defect density without TC showed lower defect density compared to the immersion exposure. Dry exposure with TC showed higher defect density (2x) than immersion exposure or dry exposure without TC. Dominant defect types for immersion exposure: Bridge, Particles and Protrusion. Protrusion is unique to immersion, not observed to significant degree with dry Unique defect type for dry with topcoat was line width distortion.

The relative defect count distribution for the dry exposure with and without TC is shown in Fig. 11. Topcoat defects, dry exposure: o o o Fig. 11. Relative defect count distribution for the dry exposure with and without TC. High defect counts observed with dry exposure and with TC (5x) compare with dry w/o TC. Line thinning defect type dominant (~60%) with TC, almost no line thinning defect observed with dry w/o TC. Low defect counts observed without TC and dry exposure. Very few line slimming defects, mostly particles Fig. 12. Defect count distribution for the immersion and dry exposures with TC. By comparing immersion with topcoat to dry with topcoat, we can note that the line slimming defect is unique to dry expose while protrusion is the dominant defect for immersion expose.

4. DISCUSSION AND CONCLUSIONS In this study on the defect levels observed for two resist types with immersion lithography processing, we observed similar types of defects for the two materials, but in different counts, with resist 2 showing 3 lower total count relative to resist 1 at their respective vendor-recommended PAB condition. At reduced PAB temperature of 80 C, the defect level of resist 1 substantially improved to the level of resist 2. Resist 2 showed ~.5 higher defects at the lower PAB temperature than at its vendor-recommended PAB temperature. Thus, the two materials behave quite differently in immersion exposure, indicating on the need to optimize the PAB process for each resist in order to minimize defects with immersion exposure. The dominant defect type for all of the baking conditions is the protrusion defect. Possibly, the solvent content of the resist is related to the protrusion defect formation by modifying the acid leaching behavior. In comparing resist 2 with immersion exposure vs. dry exposure we observed that protrusion defects were the dominant type for immersion, whereas this type was a minor defect for dry exposure, both when a TC was used for dry and when a TC was omitted during dry exposure. This would indicate that the protrusion defect may be a characteristic defect of immersion processing. In Fig. 4 we observed that the defect count on wafers using resist 2 with TC was larger when exposed on the dry scanner than when exposed on the wet scanner. The defect classification in Fig. 11 indicates that this effect was mainly driven by line slimming defects as shown in Fig. 13. The key to explain the origin of this defect lies in the fact that it is more prevailing in the dry exposure than in the wet exposure. In Refs [4-5] it was shown that defects originating from bubbles in the TC material that would refract the light, due to the index of refraction of TC compared to water, in a way that line slimming would be observed. Assuming this being the source of the observed defect, we can explain the difference in occurrence with wet or dry exposure, based on the change of index of refraction between water and TC, compared to air and TC. Fig. 13. Line slimming defect. In order to compare the effect of a bubble in the TC on the resist image in dry and wet exposures, a scanner aerial image was simulated in the presence of a TC lens-type defect, 300nm in size, for the immersion and dry exposures, as shown in Fig. 11. The TC-bubble is filled either with air or water, for dry or immersion exposures, respectively. TC Resist BARC Si Water Air Fig. 11. Cross section through the resist stack with the TC-lens defect. For the immersion exposure the TC-bubble is filled and surrounded with water (left), for the dry exposure with air (right).

A commercial SW (Panoramic TM ) was used to generate resist profiles for the immersion and dry exposures. The result is shown in Fig. 12. As can be seen for the wet case, the pattern below the TC lens is nearly unaffected. In the dry case, the area below the center of the TC-lens is over exposed while the area below the edge of the TC-lens is under exposed, similar to the line slimming defect shown in Fig. 10. The effect of a TC-lens immersed in water is less severe than that of the same lens in air, due to the smaller index of refraction difference between the TC and the water compared to the TC and air. It appears that the immersion exposure is less sensitive to process imperfections than dry exposure regarding this defect. Dry exposure of a resist system with TC is therefore a preferable test to detect such TC inhomogeneities over wet exposure. Wet Dry Figure 12. Simulated resist profiles for TC defects for the immersion and dry exposure. Dotted line indicates the position of the TC lens. To conclude, an immersion defectivity analysis was performed using a BF/3D DUV inspection tool. High detection sensitivity was shown on these layers, attributed to the use of DUV illumination combined with polarization control and low noise detection. The analysis indicates that certain types of defects are associated with different immersion process parameters. We expect that this type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion lithography processes for volume production. ACKNOWLEDGEMENT The authors would like to thank the Applied Materials Demonstration Center Team, Santa Clara, CA., for providing the inspection and SEM review data, and Steve Holmes from IBM for his contribution to this work. REFERENCES 1. Principles of Lithography, Second Edition by Harry J. Levinson, SPIE Press ISBN: 0-8194-5660-8 Publication Date: Feb 2005 2. S. Brandl, R. Housley, F. Goodwin, P. Benson, Y. Wei, C. Robinson, D. Corliss, U. Okoroanyanwu, R. Watso, K. Cummings, Immersion Defect Studies on the 1150i α-tool, International Symposium on Immersion Lithography, September 2005. 3. B. Lee, D. Im, J. Yeo, Y. Gluk, D. Meshulach, Polarization Control for Enhanced Defect Detection on Advanced Memory Devices, SPIE Microlithography 2006, February 2006, San Jose, California. 4. K. Nakano, S. Nagaoka, S. Owa, T. Yamamoto, Defectivity data taken with a full-field immersion exposure tool, Presentation at the 2 nd International Symposium on Immersion Lithography, September 2005 Brugge, Belgium. 5. B. Streefkerk, C. Wagner, R. Moerman, J. Mulkens, I. Bouchoms, F. Mast, P. Vanoppen, F. Jong, T. Modderman, B. Kneer, Advancements in System Technology for the Immersion Lithography Era, Presentation at the 2 nd International Symposium on Immersion Lithography, September 2005 Brugge, Belgium.