OPTICAL I/O RESEARCH PROGRAM AT IMEC

Similar documents
NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

APSUNY PDK: Overview and Future Trends

New silicon photonics technology delivers faster data traffic in data centers

Opportunities and challenges of silicon photonics based System-In-Package

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud

Si and InP Integration in the HELIOS project

A tunable Si CMOS photonic multiplexer/de-multiplexer

New advances in silicon photonics Delphine Marris-Morini

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

Heinrich-Hertz-Institut Berlin

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

IBM T. J. Watson Research Center IBM Corporation

Putting PICs in Products A Practical Guideline. Katarzyna Ławniczuk

Silicon Photonics: an Industrial Perspective

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland

Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect

New Wave SiP solution for Power

Optical Bus for Intra and Inter-chip Optical Interconnects

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

WWDM Transceiver Module for 10-Gb/s Ethernet

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Convergence Challenges of Photonics with Electronics

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Overview of short-reach optical interconnects: from VCSELs to silicon nanophotonics

Integrated Photonics using the POET Optical InterposerTM Platform

Innovations in Photonic Integration Platforms

Presentation Overview

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Silicon Photonics Opportunity, applications & Recent Results

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

Si CMOS Technical Working Group

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux

Silicon Optical Modulator

Near/Mid-Infrared Heterogeneous Si Photonics

Nanophotonics for low latency optical integrated circuits

A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control

SiGe BiCMOS and Photonic technologies for high frequency and communication applications Andreas Mai

Envisioning the Future of Optoelectronic Interconnects:

Proposal for 4-channel WDM (WDM4) for intermediate reach 100GbE SMF PMD

PLC-based integrated devices for advanced modulation formats

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide

Figure 1 Basic waveguide structure

Silicon photonics integration roadmap for applications in computing systems

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Scalable Electro-optical Assembly Techniques for Silicon Photonics

- no emitters/amplifiers available. - complex process - no CMOS-compatible

Light source approach for silicon photonics transceivers September Fiber to the Chip

Silicon photonics with low loss and small polarization dependency. Timo Aalto VTT Technical Research Centre of Finland

1310NM FP LASER FOR 10GBASE-LRM SC AND LC TOSA

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi

Emerging Highly Compact Amplification Solutions for Coherent Transmission

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

Monolithic Integra/on of O-band Photonic Transceivers in a Zero-change 32nm SOI CMOS

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

Photonic Integrated Beamformer for Broadband Radio Astronomy

Polymer Interconnects for Datacom and Sensing. Department of Engineering, University of Cambridge

JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 31, NO. 16, AUGUST 15,

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

DWDM XENPAK Transceiver, 32 wavelengths, SC Connectors, 80km over Single Mode Fiber

EPIC: The Convergence of Electronics & Photonics

Lecture 1: Course Overview. Rajeev J. Ram

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs

More-than-Moore with Integrated Silicon-Photonics. Vladimir Stojanović Berkeley Wireless Rearch Center UC Berkeley

AS THE YEAR 2020 approaches, performance scaling of

DWDM XENPAK Transceivers, 32 wavelengths, SC Connectors, 80km over Single Mode Fiber

Silicon Integrated Photonics

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics

Specification for 100GBASE-DR4. Piers Dawe

SILICON PHOTONICS FOR DATA COMMUNICATIONS

Low Power DSP and Photonic Integration in Optical Networks. Atul Srivastava CTO, NTT Electronics - America. Market Focus ECOC 2014

Optical Transmission Fundamentals

A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING. FINAL EXAMINATION, April 2017 DURATION: 2.5 hours

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane

Lecture 4 INTEGRATED PHOTONICS

Silicon photonics platform for high volume manufacturing. Peter De Dobbelaere Luxtera Inc. 7/11/2018

Design Rules for Silicon Photonic Packaging at Tyndall Institute

Fabricating 2.5D, 3D, 5.5D Devices

Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers

OTemp: Optical Thermal Effect Modeling Platform User Manual

Transcription:

OPTICAL I/O RESEARCH PROGRAM AT IMEC IMEC CORE CMOS PHILIPPE ABSIL, PROGRAM DIRECTOR JORIS VAN CAMPENHOUT, PROGRAM MANAGER

SCALING TRENDS IN CHIP-LEVEL I/O RECENT EXAMPLES OF HIGH-BANDWIDTH I/O Graphics Processing Unit 190 GB/s >50pJ/bit Source: www.geforce.com FPGA >300 GB/s Source: White Paper: Virtex-7 FPGAs, WP380 (v1.0), P. Dorsey, October 27, 2010 Hybrid Memory Cube 128 GB/s ~8 pj/bit Source: Intel Developer Forum (IDF), 2011 MIT MICRO PHOTONICS CENTER FALL MEETING 2012 2

I/O Aggregate BW (TB/s) I/O Energy Budget (pj/bit) HPC SCALING I/O SCALING INTO THE TERABYTE REGIME 10 1 0.1 0.01 0.001 GPU Vendor 1 GPU Vendor 2 0.4Byte/Flop 0.2Byte/Flop 0.1Byte/Flop 28nm/ 32nm 20nm 0.0001 0 1994 1998 2002 2006 2010 2014 2018 2022 Year of Production 3pJ/bit 14nm 1.6TB/s 0.8TB/s 10nm 7nm 3.2TB/s 30 25 20 15 10 Assumptions: 3 years between future CMOS nodes 20W I/O Power Budget 5 1.5pJ/bit 0.75pJ/bit MIT MICRO PHOTONICS CENTER FALL MEETING 2012 3

SYSTEM ROADMAP DATA WAREHOUSE SWITCHES Source: Ali Ghiasi, BROADCOM, IEEE Group Four Photonics, 2012. 3.6 Tb/s by 2014! MIT MICRO PHOTONICS CENTER FALL MEETING 2012 4

SILICON PHOTONICS FOR 3-D OPTICAL I/O LOW-POWER, HIGH-BANDWIDTH OPTICAL INTERCONNECT I/O Requirements by 2018-2021 10nm/7nm CMOS node Aggregate BW ~ Multiple TB/s Area/Perimeter Constrained: BW density > 1Tb/s/mm Power constrained: E bit < 1pJ/bit Optical I/O by Silicon Photonics Silicon Photonics Interposer Best-in-class Silicon Optical Devices 3-D Assembly with CMOS/DRAM u-bumps DRAM DRAM DRAM optical connector u-bumps solder bumps DRAM Memory Controller Silicon Photonics Interposer package SM Optical Fiber (ribbon) Heat sink Logic die Logic die Silicon Photonics Interposer package FR4 MIT MICRO PHOTONICS CENTER FALL MEETING 2012 5

CORE CMOS PROGRAMS INSITE INSITE-LOGIC INSITE-MEMORY INSITE-3D INSITE-OPTICAL Lithography Device cluster Interconnect Cluster 193i Extentions Double Patterning, Computational lithography Extreme-UV Source, Mask, Imaging Logic Devices Logic, SRAM, DRAM Memory Devices Flash MIMCAP, RRAM, FBRAM Program Kick-Off December 2010 Nano Interconnect Cu line scaling Low-K & air gap 3D Chip Stacking In-foundry TSV Smart Interposers, ubump Optical I/O Si-Photonics Supporting Expertise Centers Unit Processes Research (Clean/Strip, Epi, ALD, (D)RIE, Anneal, Metallization ) Materials Characterization & Metrology Reliability, ESD Adv. Characterization (Fill & Sense ) Ab Initio TCAD Transport modeling MIT MICRO PHOTONICS CENTER FALL MEETING 2012 6

OPTICAL I/O PROGRAM MISSION Identification of System-Level Requirements for Optical I/O Silicon Photonics Technology Development Optimization of CMOS circuits for efficient Optical I/O Building Demonstrators and Benchmarking vs. Competing Technology MIT MICRO PHOTONICS CENTER FALL MEETING 2012 7

HIGH PERFORMANCE I/O ROADMAP 2012 2013 2014 2015 2016 2017 I/O Technology Options Silicon Photonics PIC R&D Modulators Detectors Multiplexing PIC-CMOS 3D Assembly Cu Extension (PCB + Adv. Signaling, Flex) Optical I/O VCSEL or PIC single link imec Optical I/O Program Focus 2018 Optical I/O VCSEL or PIC + Multiplexing 25G MRR + thermal control Program 35G MRR supported (Advanced Designs) by 50G Hybrid (non-silicon materials) 25G Ge p-i-n (+mod. integration) 35G Ge p-i-n / APD 50G Ge APD imec CORE Partners 4-channel WDM Flip-chip 8-channel WDM / Multi Core Fiber 16-channel WDM / Multi Core Fiber m-bump + TSV + Laser Assembly Bump-less + TSV + Laser Assembly SWITCH (<2km) 0.2TB/s 0.4TB/s 0.4TB/s 0.4TB/s 0.8TB/s 0.8TB/s 0.8TB/s HPC (<1m) 0.4TB/s 0.4TB/s 0.4TB/s 0.8TB/s 0.8TB/s 0.8TB/s 1.6TB/s LINK DATA RATE IMEC up to 20125Gb/s up to 25Gb/s MIT MICRO PHOTONICS up to 25Gb/s CENTER FALL up MEETING to 35Gb/s 2012 up to 35Gb/s up to 35Gb/s up to 850Gb/s

2002-2009 Silicon Photonics Exploration Passive components library Hybrid silicon / III-V active devices Insertion loss for 1 coupler [db] 0.0-0.5-1.0-1.5-2.0-2.5-3.0-3.5-4.0-4.5 Low insertion loss coupling <2dB / facet Polarization management BW = 25nm <2.0dB IL -5.0 1510 1520 1530 1540 1550 1560 1570 Wavelength [nm] Low-loss, compact and robust (De-) Multiplexer MIT MICRO PHOTONICS CENTER <3.5dB FALL MEETING insertion 2012 loss, <-20dB crosstalk 9

ULTRA LOW-LOSS SILICON PHOTONICS (300MM) Silicon Wire Loss (db/cm) 2 1.5 1 0.5 Imec 200mm (193nm) Previous state-of-the-art (e-beam) Imec 300mm (193-immersion) Record low loss @ 0.7dB/cm 300mm Photonics SOI - Adapted 28nm STI - ASML 193nm immersion litho - AMAT eharp trench fill MIT MICRO PHOTONICS CENTER FALL MEETING 2012 10

DIMENSION CONTROL IS CRUCIAL FOR SILICON PHOTONICS Linewidth variation Resonance wavelength of a device Thickness variation response n eff m Wavelength response is directly proportional to device dimension (variation). L Thickness and linewidth control is vital for commercial viability MIT MICRO PHOTONICS CENTER FALL MEETING 2012 11

LOCATION SPECIFIC PROCESSING MIT MICRO PHOTONICS CENTER FALL MEETING 2012 12

GRATING FIBER COUPLER AS A TEST DEVICE Even a simple grating fiber coupler is 10X sensitive to thickness than width variation. Trench width Trench etch depth 2 nm/nm Si thickness BOX 2000nm peak Si T : 220+/-11 nm λ peak : λ o +/-22 nm 0.2 nm/nm 1.2 nm/nm MIT MICRO PHOTONICS CENTER FALL MEETING 2012 13

WTW THICKNESS CORRECTION Before correction After correction and defect curing Reproducible WtW target thickness and uniformity. MIT MICRO PHOTONICS CENTER FALL MEETING 2012 14

IMPROVED WIWNU SILICON THICKNESS Corrective Etching (GCIB LSP ) Damage Recovery Thickness WiWNU 3s (nm) Roughness (nm) Silicon wire loss (db/cm) Reference SOI Wafer LSP Wafer w/o recovery LSP Wafer with recovery 5.0 0.101 Typ. 1.7 1.3 1.36 40 1.3 0.46 1.75 Reference (no LSP) LSP corrected Fiber Coupler Response WIWNU Silicon Thickness Range <3nm 90% of the Fiber Couplers Within 7nm Without Loss Penalty MIT MICRO PHOTONICS CENTER FALL MEETING 2012 15

SCALING OPTICAL TECHNOLOGY Faster Channels 70G 50G 35G 25G PAM-16 (LightWire/Luxtera) 4-bit 2-bit 1-bit More Bits per Symbol Amplitude: PAM Phase and Amplitude: QPSK 10G 1 4 8 16 1core 8core 16core J. Sakaguchi, et al, "19-core fiber transmission of 19x100x172-Gb/s SDM- WDM-PDM-QPSK signals at 305Tb/s," OFC2012, PDP5C.1. More Channels Fiber Ribbons more Wavelengths: WDM more Fiber Cores: SDM MIT MICRO PHOTONICS CENTER FALL MEETING 2012 16

SILICON PHOTONICS WDM LINK REQUIRED COMPONENTS Laser 1 Laser 2 Laser 8 Package 1 Package 2 Silicon Photonics Chip Silicon Photonics Chip Fiber Coupler TX DATA Power Splitter driver CMOS chip Ring E-O modulator Heater 1 8 SMF Ribbon TM TE 8 8 8 1 DEMUX 1 Ge WPD 1 amplifier CMOS chip RX DATA Transceiver Components WDM Laser Passive Optical Devices: couplers, filters, waveguides Silicon Electro-Optic Modulator Waveguide Heater Germanium Waveguide Photodetector Single-Mode Fiber Ribbon CMOS Tx: modulator driver CMOS Rx: TIA/LA amplifier CMOS control: thermal control feedback loop MIT MICRO PHOTONICS CENTER FALL MEETING 2012 17

MODULATOR REQUIREMENTS Laser 1 Laser 2 Laser 8 Package 1 Package 2 Silicon Photonics Chip Silicon Photonics Chip Fiber Coupler TX DATA Power Splitter driver CMOS chip Ring E-O modulator Heater 1 8 SMF Ribbon TM TE 8 8 8 1 DEMUX 1 Ge WPD 1 amplifier RX DATA CMOS chip Targeted Modulator Specifications Transmitter penalty (TP) < 3dB, e.g. Extinction Ratio (ER) > 7dB Insertion Loss (IL) < 1dB Power consumption (E bit <20fJ/bit) Modulation speed = 10Gb/s, 20Gb/s, CMOS compatible drive-voltage Thermally robust / fabrication tolerant Footprint < 500mm 2 ER TP IL 10log ER +1-1 Impressive progress in silicon ring modulators: Oracle, Kotura, Sandia, LETI, However, none of demonstrations simultaneously meets all these requirements! lin lin MIT MICRO PHOTONICS CENTER FALL MEETING 2012 18

DIODE DESIGN Carrier depletion based modulation Lateral versus inter-digitated diode design Maximize extinction ratio (ER) for minimum insertion loss (IL) 10 Gb/s operation or more Shallow etch (~70nm) limits ring radius to ~40um Target doping concentration = 1x10 18 cm -3 P++ XSection w=450nm h = 70nm 150nm N++ P+ N+ MIT MICRO PHOTONICS CENTER FALL MEETING 2012 19

DC PERFORMANCE Lateral Diode ER @1Vpp IL @1Vpp Interdigitated Diode ER @1Vpp IL @1Vpp Vpp (V) Max ER (db) IL (db) ER for IL=3dB (grey regions) Transmitter Penalty (db) Lateral 1Vpp 18.5 7.9 3 6 Interdigitated 1Vpp 29 4.8 7.5 4 Interdigitated diode has higher extinction ratio for similar insertion loss MIT MICRO PHOTONICS CENTER FALL MEETING 2012 20

HIGH-SPEED OPERATION (10Gb/s) 10Gb/s, PRBS = 2 15-1 Vpp measured at PPG Lateral Diode Interdigitated Diode Vpp: 1V; Vpp: 0.7V; Open eye diagrams obtained at 10Gb/s for both designs Interdigitated design suffers from the RC frequency limitation (can be improved by design up to >10GHz) MIT MICRO PHOTONICS CENTER FALL MEETING 2012 21

SILICON PHOTONICS WDM LINK REQUIRED COMPONENTS Laser 1 Laser 2 Laser 8 Package 1 Package 2 Silicon Photonics Chip Silicon Photonics Chip Fiber Coupler TX DATA Power Splitter driver CMOS chip Ring E-O modulator Heater 1 8 SMF Ribbon TM TE 8 8 8 1 DEMUX 1 Ge WPD 1 amplifier CMOS chip RX DATA Transceiver Components WDM Laser Passive Optical Devices: couplers, filters, waveguides Silicon Electro-Optic Modulator Waveguide Heater Germanium Waveguide Photodetector Single-Mode Fiber Ribbon CMOS Tx: modulator driver CMOS Rx: TIA/LA amplifier CMOS control: thermal control feedback loop MIT MICRO PHOTONICS CENTER FALL MEETING 2012 22

WDM COMPONENTS ROBUST MICRORING FILTERS Worst channel IL Crosstalk 2 nd order 4-channel MRR improves significantly worst channel insertion loss compensating detuning by its flat-top response Excellent FSR control over the wafer Worst-Channel (wafer-scale average) 1 st order 2 nd order XT(dB) 13.8 19.1 IL (db) 6.3 1.4 FSR (nm) 11.22 ± 0.05 11.22 ± 0.12 MIT MICRO PHOTONICS CENTER FALL MEETING 2012 23

PHOTODETECTOR REQUIREMENTS Laser 1 Laser 2 Laser 8 Package 1 Package 2 Silicon Photonics Chip Silicon Photonics Chip Fiber Coupler TX DATA Power Splitter driver CMOS chip Ring E-O modulator Heater 1 8 SMF Ribbon TM TE 8 8 8 1 DEMUX 1 Ge WPD 1 amplifier RX DATA CMOS chip Targeted Photodetector Specifications high responsivity (> 0.8A/W) CMOS bias voltage (~1V) high speed (10Gbps, 20Gbps, ) low capacitance (< 10fF) low dark current (< 100nA) footprint < 500um2 Si BOx Si substrate Lateral pin Ge PD n+ p+ i-ge 1/2/4 mm ~300nm MIT MICRO PHOTONICS CENTER FALL MEETING 2012 24

Dark Current [A] Current (µa) Responsivity (A/W) GE WAVEGUIDE PHOTODETECTOR Si Ge 12.5Gb/s Ge-on-Si Waveguide Photo-detector DC as low as 10nA @-1V, RT R~0.8A/W ( < 1565nm) Bandwidth > 20GHz 1.E-03 100 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 10 1 Dark current 1 0.8 0.6 0.4 0.2 Responsivity 1.E-09 0.1-1 -2-0.5-1.5 0-1 -0.5 01 Voltage Bias [V](V) 0-2 -1.5-1 -0.5 0 Voltage (V) MIT MICRO PHOTONICS CENTER FALL MEETING 2012 25

PHOTONICS-ELECTRONICS INTEGRATION Oracle IBM imec Imec demonstrator 40nm CMOS Chip (4x10Gb/s drivers) optical fibers Oracle IBM imec Compact, low loss microring modulators Advanced CMOS driver/receiver circuit design CMOS / Photonics flip-chip assembly Speed 10 Gb/s 8 Gb/s 10 Gb/s Power 0.1pJ/bit 1.8 pj/bit 0.35 pj/bit(*) Voltage Supply Double Double Single 1V CMOS 40nm 90nm 40nm (*) 1 st generation is bondpad & modulator size limited MIT MICRO PHOTONICS CENTER FALL MEETING 2012 26

Silicon Wire Loss (db/cm) Dark Current [A] Si Ge-on-Si Waveguide Photo-detector DC as low as 10nA @-1V, RT R~0.8A/W ( < 1565nm) Bandwidth > 20GHz Footprint < 20mm 2 Dark current 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 1.E-09-1 -0.5 0 0.5 1 Bias [V] Ge Record low loss waveguide platform 0.7dB/cm using advanced CMOS processes 1nm Silicon thickness control 2 1.5 1 0.5 Imec 200mm (193nm) Previous state-of-the-art (e-beam) Imec 300mm (193-immersion) Record low loss @ 0.7dB/cm Micro Ring Array 20Gb/s Modulation MOS MRR Modulator 50ps 5mm Compact High Speed Micro Ring Modulators 20Gb/s modulation demonstrated 10Gb/s modulation @ 1V pp, 3dB IL Footprint < 150mm 2 MIT MICRO PHOTONICS CENTER FALL MEETING 2012 Low-loss, compact and robust (De-) Multiplexer <1.5dB insertion loss, <-19dB crosstalk 27 Integrated heaters

Ge-on-Si Waveguide Photo-detector DC as low as 10nA @-1V, RT R~0.8A/W ( < 1565nm) Bandwidth > 20GHz Footprint < 20mm2 Record low loss waveguide platform 0.7dB/cm using advanced CMOS processes 1nm Silicon thickness control Robust & Complete Silicon Photonics Platform Ge Si Dark current Imec 200mm (193nm) Previous state-of-the-art (e-beam) 1.5 Imec 300mm (193-immersion) Record low loss @ 0.7dB/cm 1 Photonics Electronics Co-Design 1.E-03 Dark Current [A] Silicon Wire Loss (db/cm) Nanometer scale control High-speed and compact actives 2 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 1.E-09-1 0.5 Flip-chip stacking 40nm CMOS + Photonics Photonics integration compatible with 3D TSV -0.5 0 0.5 Bias [V] 1 Outlook Micro Ring Array MOS MRR Modulator 3D chip stacking Non-silicon material integration High density packaging Compact High Speed Micro Ring Modulators Thermal Control Low-loss, compact and robust (De-) Multiplexer 20Gb/s modulation demonstrated 40nm CMOS Chip (4x10Gb/s drivers) 20Gb/s Modulation 50ps 5mm 10Gb/s modulation @ 1Vpp, 3dB IL IMEC22012 Footprint < 150mm optical fibers <1.5dB insertion loss, <-21dB crosstalk MIT MICRO PHOTONICS CENTER FALL MEETING 2012 Integrated heaters 28

THANK YOU Acknowledgments: partners of imec s CORE Industry Affiliation Program