Purpose: Explain the top advanced issues and concepts in

Similar documents
OPC Scatterbars or Assist Features

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Optical Projection Printing and Modeling

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Update on 193nm immersion exposure tool

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

The Formation of an Aerial Image, part 3

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Synthesis of projection lithography for low k1 via interferometry

Feature-level Compensation & Control

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

The Formation of an Aerial Image, part 2

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Mirror-based pattern generation for maskless lithography

Optical Maskless Lithography - OML

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPC Rectification of Random Space Patterns in 193nm Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

From ArF Immersion to EUV Lithography

Experimental assessment of pattern and probe-based aberration monitors

Optical Maskless Lithography (OML) Project Status

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

16nm with 193nm Immersion Lithography and Double Exposure

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

What s So Hard About Lithography?

Comparison of actinic and non-actinic inspection of programmed defect masks

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Aerial image based mask defect detection in dense array structures

IMPACT Lithography/DfM Roundtable

2009 International Workshop on EUV Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Feature-level Compensation & Control

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

IIL Imaging Model, Grating-Based Analysis and Optimization

Challenges of EUV masks and preliminary evaluation

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Improving registration metrology by correlation methods based on alias-free image simulation

EE-527: MicroFabrication

NANO 703-Notes. Chapter 9-The Instrument

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Computational Lithography

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Extending SMO into the lens pupil domain

Optical Microlithography XXVIII

Horizontal-Vertical (H-V) Bias

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Polarization Experiments Using Jones Calculus

Development of a Sub-100nm Integrated Imaging System Using Chromeless Phase-Shifting Imaging with Very High NA KrF Exposure and Off-axis Illumination

MICRO AND NANOPROCESSING TECHNOLOGIES

Mask Technology Development in Extreme-Ultraviolet Lithography

Phase Contrast Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Reducing Proximity Effects in Optical Lithography

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Optolith 2D Lithography Simulator

Requirements and designs of illuminators for microlithography

More on the Mask Error Enhancement Factor

Opti 415/515. Introduction to Optical Systems. Copyright 2009, William P. Kuhn

Depth of Focus and the Alternating Phase Shift Mask

Resolution. [from the New Merriam-Webster Dictionary, 1989 ed.]:

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Topography effects and wave aberrations in advanced PSM-technology

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Immersion Lithography Micro-Objectives

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

Physics 4. Diffraction. Prepared by Vince Zaccone For Campus Learning Assistance Services at UCSB

Flare compensation in EUV lithography

FDTD Analysis of Readout Characteristics in a near-field MAMMOS recording system. Matthew Manfredonia Paul Nutter & David Wright

Key Photolithographic Outputs

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Optical Proximity Effects, part 3

EUVL Activities in China

Lithography. International SEMATECH: A Focus on the Photomask Industry

Waveguides and Optical Fibers

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Evaluation of Technology Options by Lithography Simulation

Single-photon excitation of morphology dependent resonance

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

APPLICATION NOTE

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Microscope anatomy, image formation and resolution

Lecture 5. Optical Lithography

Advanced Patterning Techniques for 22nm HP and beyond

DIELECTRIC WAVEGUIDES and OPTICAL FIBERS

Micro- and Nano-Technology... for Optics

Amphibian XIS: An Immersion Lithography Microstepper Platform

Nikon EUVL Development Progress Update

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

Transcription:

Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists * AIT-2: Resolution Enhancement and PSM AIT-3: Small Features and Defects AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Each module is a 20-25 min presentation of about a dozen slides. Suggested reading: Griffin: Plummer, Deal and Chapter 5 Sheats and Smith: 214-232, Wong: 34-37, 71-90, Fig 4.1, Fig. 4.10,

OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis illumination is used. The bars must be small enough that the image at their location does not print. Add nonprinting adjacent features A typical size is about 1/3 of the minimum feature size and they are placed about a minimum feature size from the feature edge.

Scattering Bar Simulation with TEMPEST λ=193nm Mag=4X CD Incident radiation target =130nm SB CD E y TE : E y polarization z-axis y-axis μm Image CD x-axis With SB E x μm TM : E x polarization Aerial Scatterbars improve DOF Defocus μm μm Adam, SPIE 4000-72

Scattering Bar Aerial Image and Design λ=193nm, NA=0.7, σ=0.6, Mag=4X, CD target =130nm Norm malized Inten nsity 1.2 1 0.8 0.6 0.4 0.2 Aerial Image (Best focus) SPLAT TE TM Intensity 1 0.8 0. 6 0.4 0.2 Intensity dip of SB SPLAT TE TM Perturbation model 0 0 0.1 0.2 0.3 0.4 0.5 (μm) 0 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 Size of SB (λ/na) - Observe that the scatter bars (also the main feature) appear wider in TM (field perpendicular) excitation than in TE (field parallel) and narrower with SPLAT simulation (scalar theory) Adam, SPIE 4000-72

OPC Feature Design Data: Binary Masks TEMPEST-CF vs. SPLAT-CF differ by 9% TEMPEST-DF vs. SPLAT-DF differ by 6% Perturbation is proportional to OPC area. in nm 1/2 (LE ES corre ection) 1/2 Wave propagation and polarization are 2 nd order for binary masks. CF = Clear Field DF = Dark Field TEMPEST-CF Line end shortening (LES) correction SPLAT-CF SPLAT-DF TEMPEST-DF equiv. size of OPC in l/na (1X) Adam, SPIE 4000-72 Serif

High-Fidelity Audio System Off-Axis Analogy The lateral spatial variation across a wafer of an off-axis light ray is analogous the temporal variation of a note in a Hi-Fi audio system. More rapid variations (spatial or temporal) from higher frequencies (spatial or temporal) allow sharper artifacts t (spatial {lithography feature} or temporal {drum beat}) to be produced. Just as it is difficult to improve upon the pulse width times bandwidth product it is difficult to improve upon the feature size times NA product. BUT IN RESOLUTION ENHANCEMENT WE TRY TO GET A FACTOR OF TWO INCREASE ANYWAY.

Resolution Enhancement Techniques Resolution Enhancement Emphasizes High Frequencies Conventional Illumination Binary Mask Modified Illumination 0 Frequency 0 Frequency Phase Mask Lens Capture 0 0 Frequency Frequency 0 0 Frequency In-Lens Filter Frequency Bokor, Neureuther, Oldham, Circuits and Devices, 1996

Two Ray Infinite DOF Ray # 1 θ 1 θ 2 k y Ray # 2 k x Pitch When θ 1 =θθ 2 the contributions from Ray #1 and Ray #2 track each exactly with axial distance and an INFINITE depth of focus is produced. Period = Pitch = Δk Transverse = = λ 2sin θ 2k 0 Δ 2π k Transverse sin( θ ) sin( θ ) = NA λ ( θ ) 2 NA Doubled Resolution! With infinite DOF

Strategy to improve both resolution and DOF Since the small features or edges are are most important emphasize the high-frequency off-axis ray to improve resolution. σ SPOT = λ Pitch NA Since the change in the image with focus comes from the relative phase change among the rays with axial distance, utilize rays at similar azimuthal angles that track in phase with focus to improve DOF.

Top Hat General Shapes Illumination Schemes Annular DOF, Contacts k 1 = 0.67 k 1 = 0.55 σ k = 1.3 k = 1.7 IN = 0.55 2 2-1 1-1 1σ OUT = 0.85 Pupil Pupil Quadruple H,V lines, DOF k 1 = 0.45 k 2 = 2.0 Dipole V lines, DOF k 1 = 0.35 k 2 = 3.0-1 1-1 1 Pupil Pupil The k1 factor is inversely proportional to the lateral separation of the illumination k 1 = 1/(2 x separation) H lines and contacts formed via a double exposure

Annular Illumination: k 1 =0.4 Large DOF L = S = 0.4 σ IN = 0.5 σ OUT = 0.8 DOF = 2.0 Contrast = 0.61

Quadrapole Illumination 0.4 l/na Line equal Space (Dense) DOF =1 σ x =σ y =0.6 σ POLE = 0.1 Higher contrast than annular for Manhattan geometries as there are no illumination components near either σ x =0 or σ y = 0

Quadrapole Optimization Dipole illumination i i can print 0.707 smaller lines but lines only.

Phase-Shifting Mask Types Alternating (Strong) Attenuating (Weak) Used for Contacts 6% to 10% gives slope improvement of 30%. Sidelobe issue. Phase Edge Requires second trim mask exposure. Chromeless (Only 0 order)

Attenuating Phase-Shifting Masks Intensity of 6% comes from an electric field of -0.25 Going from positive electric fields to negative electric fields increases edge slope and creates darker intensity near edge.

Phase-Shifting Mask P P Perfect Null P P/2 Frequency doubled Sheats and Smith

Phase-Shifting Mask: Electric Fields Sheats and Smith

Alternating Phase-Shifting Mask 0.7 Alternating 0 and 180 regions, σ = 0.3 In Focus 1 RU Defocus 0 180 0 180 The period on the wafer is ½ the period of the mask. The region < 0.3 intensity is about 1/3 of the period.

Phase-Edge (Perfect Null) 1.5 λ/na 0 and 180 regions, σ = 0.3 In Focus 0 180 1 RU Defocus 0 180 The width is about 0.3λ/NA. Great depth of focus.

Phase-Edge Masks Is trim or conjugate shifter better?

Focus Monitor 1.5 l/na 0 and 90 regions with 0.4 λ/na chrome, σ = 0.3 In Focus 1 RU Defocus 0 Cr 90 0 Cr 90 The shift is nearly linear with focus.

Phase-Edge Simulation in SPLAT 1.5 λ/na 0 and 180 regions, σ = 0.3 In Focus 0 180 SPLAT INPUT FILE # Phase Edge DOF=0.0 2: 0.5 3: 0.5 4: 0.0 5: 0.3 0.0 6:303000 3.0 3.0 0.0 7: 0.0 0.0 1.5 3.0 at 1.0 7: 1.5 0.0 1.5 3.0 at 1.0 <180.0> 10:; 14: 0.0 1.5 3.0 1.5 'twolines.txt' 0:end; Implicit Symmetry for statement 7

Appropriate RETs for Various Patterns pˆ is the mask period in λ/na From Wong, RET in OL.

TEMPEST Instantaneous Electric Fields

Alt-PSM Intensity Imbalance: Edge Effects Δ IEDM, 1992 Wong

Photomask Polarization Effects When openings reach the size of 2 wavelengths polarization effects occur and they also are somewhat worse with off-axis illumination. However, for binary masks they are mitigated by the fact that the chrome is only about 80nm thick. The attached data shows that at λ = 193 nm and 80 nm of chrome the effects are probably not measurable for 1.5λ (300nm) openings. For 1.0λ (200nm) openings the desired TE polarization is favored by a factor of 1.2 for both the 0 th and 1 st orders.

Order Magnitudes: FDTD (Normalized) 0 th Order Magnitude 0.6 0.5 0.4 0.3 0.2 01 0.1 Cr = 80nm Zeroth Order Magnitude (cr = 80nm @ 193 nm Lambda) Normalized λ TE Polarization TM Polarization 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Mask Pitch (4x) (um) Magnitude Cr = 200nm Zeroth Order Magnitude (cr = 200 nm @ 193 nm Lambda) 0.6 0.5 0.4 0.3 0.2 TE Polarization 0.1 λ TM Polarization 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Mask Pitch (4x) (um ) 1st Order Magn nitude 0.5 0.45 0.4 0.35 0.3 0.25 0.2 0.15 0.1 0.05 First Order Magnitude (cr = 80nm @ 193nm Lambda) Normalized λ TE Polarization TM Polarization 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Mask Pitch (4x) (um) agnitude Ma First Order Magnitude (cr = 200nm @ 193 nm Lambda) Normalized 0.5 0.45 0.4 0.35 0.3 0.25 02 0.2 0.15 TE Polarization 0.1 TM Polarization 0.05 λ 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Mask Pitch (4x) (um) Data from Neureuther, Sematech 2003 Situation is worse for Attenuated masks due to their thickness, Progler, SPIE 05

Resolution Enhancement: In-Lens Filter cos ( ) 2 2 2 j2πβ r j2πβ r 2πβr = 0.5e + 0.5e Defocus away and toward the lens. The cos(2πβr 2 ) filter creates dual defocused images that are very effective in increasing the total focal range of contact patterns. Fukuda Fukuda, JVST B Hitachi Nov/Dec 91