A Low-voltage Programmable Frequency Divider with Wide Input Frequency Range

Similar documents
A Low Power Single Phase Clock Distribution Multiband Network

ISSN Vol.06,Issue.05, August-2014, Pages:

Design of a Single Phase Clock Multiband Flexible Divider Using Low Power Techniques

A Low Voltage Delta-Sigma Fractional Frequency Divider for Multi-band WSN Frequency Synthesizers

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

Optimization of Flexible Divider

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

A New Dual-Modulus Divider Circuit Technique

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

Simple odd number frequency divider with 50% duty cycle

A Wide Range PLL Using Self-Healing Prescaler/VCO in CMOS

A 3-10GHz Ultra-Wideband Pulser

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler

Low Power, Noise-Free Divided By 4/5 Counter Using Domino Logic: A Survey

Design of A Low Power and Wide Band True Single-Phase Clock Frequency Divider

/$ IEEE

Design of a 0.7~3.8GHz Wideband. Power Amplifier in 0.18-µm CMOS Process. Zhiyuan Li, Xiangning Fan

AN EFFICIENT PROGRAMMABLE FREQUENCY DIVIDER WITH IMPROVED DIVISION RATIO

A Divide-by-Two Injection-Locked Frequency Divider with 13-GHz Locking Range in 0.18-µm CMOS Technology

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

A Design of RF Based Programmable Frequency Divider for IEEE a Wireless Access

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

A 1.8-V 3.6-mW 2.4-GHz fully integrated CMOS Frequency Synthesizer for the IEEE

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

Ultra Wideband Amplifier Senior Project Proposal

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16

Power Optimized Counter Based Clock Design Using Pass Transistor Technique

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN

ML12202 MECL PLL Components Serial Input PLL Frequency Synthesizer

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

Design of low-power, high performance flip-flops

FRACTIONAL-N FREQUENCY SYNTHESIZER DESIGN FOR RFAPPLICATIONS

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Digital Controller Chip Set for Isolated DC Power Supplies

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at ScienceDirect. Procedia Computer Science 57 (2015 )

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A COMPACT WIDEBAND MATCHING 0.18-µM CMOS UWB LOW-NOISE AMPLIFIER USING ACTIVE FEED- BACK TECHNIQUE

Layout Design of LC VCO with Current Mirror Using 0.18 µm Technology

ISSN:

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

Module -18 Flip flops

THE serial advanced technology attachment (SATA) is becoming

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

Research Article Processing Chip for Thin Film Bulk Acoustic Resonator Mass Sensor

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

Research Overview. Payam Heydari Nanoscale Communication IC Lab University of California, Irvine, CA

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

ALTHOUGH zero-if and low-if architectures have been

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A 1.2-to-1.4 GHz low-jitter frequency synthesizer for GPS application

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

Optimization and design of a novel prescaler and its application to GPS receivers

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

HIGH PERFORMANCE VOLTAGE CONTROLLED OSCILLATOR (VCO) USING 65NM VLSI TECHNOLOGY

Short Range UWB Radio Systems. Finding the power/area limits of

International Journal of Electronics and Communication Engineering & Technology (IJECET), INTERNATIONAL JOURNAL OF ELECTRONICS AND

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator

American International Journal of Research in Science, Technology, Engineering & Mathematics

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

All-digital ramp waveform generator for two-step single-slope ADC

Ground-Adjustable Inductor for Wide-Tuning VCO Design Wu-Shiung Feng, Chin-I Yeh, Ho-Hsin Li, and Cheng-Ming Tsao

International Journal of Scientific & Engineering Research, Volume 6, Issue 10, October-2015 ISSN

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

A LOW POWER PHASE FREQUENCY DETECTOR FOR DELAY-LOCKED LOOP

A High-Level Model for Capacitive Coupled RC Oscillators

Frequency Synthesizers

24 GHz ISM Band Silicon RF IC Capability

Very Wide Range Frequency Synthesizer Architecture for Avionic SDR Applications

ISSN:

A Highly Compact 2.4GHz Passive 6-bit Phase Shifter with Ambidextrous Quadrant Selector

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

VLSI Chip Design Project TSEK06

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design

DIGITAL BASEBAND PROCESSOR DESIGN OF PASSIVE RADIO FREQUENCY IDENTIFICATION TAG FOR ULTRA WIDEBAND TRANSCEIVER

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

Transcription:

A Low-voltage Programmable Frequency ivider with Wide Input Frequency Range Yilong Liao 1*, and Xiangning Fan 1 1 Institute of RF-&OE-ICs, School of Information Science and Engineering, Southeast University, 210096 Nanjing, China Abstract. A low-voltage programmable frequency divider with wide input frequency range is fabricated in standard 0.18µm TSMC RF CMOS technology and presented in this paper. Considering the frequency division ratio of dual-modulus prescaler is relatively smaller, a programmable divider with full custom design is used to increase the frequency division ratio and the maximum operating frequency. The frequency division ratio of the programmable frequency divider covers from 64 to 255. And the measured results show that the programmable divider works correctly when the input frequency varies from 0.5 GHz to 6.0 GHz, with 1V supply. Besides, the power consumption is 3.5 ma at the maximum frequency of 6.0 GHz. 1 Introduction With the rapid development of wireless communication, various kinds of wireless communication mode are springing up constantly, making the integration of a variety of wireless communication in a mobile terminal a development tendency. The RF transceiver which supports multiple standards also therefore becomes a research hot spot. Many domestic and foreign scholars have made a thorough research about multi-mode multifrequency broadband frequency synthesizers [1-3] which is indispensable in a multi-mode RF transceiver. The programmable frequency divider as a critical module in multi-mode multifrequency frequency synthesizers, is required to work under the broadband and high frequency [4-5]. Because of the simple structure, the programmable frequency divider made up by dual-modulus prescaler and programmable divider is extensively applied. The programmable counter is constituted by a Programmable counter (P-counter) and a Swallow counter (S-counter). Usually, the two counters are designed by semi-custom design approach, which can only work at a low frequency band. In order to obtain a higher working frequency, the counters presented in this paper are designed by full custom design approach. At the same time, the frequency division ratio of dual-modulus prescaler is designed to be small to lower the minimum frequency division ratio of the whole programmable frequency divider. So, the programmable frequency divider presented in this paper also has a large frequency division ratio range. * Corresponding author: yilongliao@seu.edu.cn The Authors, published by EP Sciences. This is an open access article distributed under the terms of the Creative Commons Attribution License 4.0 (http://creativecommons.org/licenses/by/4.0/).

2 Circuit design 2.1 Architecture of programmable frequency divider The architecture of the programmable frequency divider is shown in Figure 1. The full programmable divider used in this design is based on the pulse-swallow topology and it contains a 8/9 (N/N+1) dual-modulus prescaler, a 5-bit P-counter and a 3-bit S-counter [6]. Signal MPout is the output signal of the dual-modulus prescaler (MP). Signal IVout is the final output of the whole programmable frequency divider. The total division ratio (T) is given by ( ) ( ) T = S N + 1 + P S N = PN + S, (1) where P and S are the loaded initial values in the P-counter and S-counter, respectively. Equation (1) states that the programmable frequency divider presented in this design can cover the consecutive division ratio from 64 to 255. Prescaler MPout P-counter IVout MC S-counter Fig. 1. Topology of programmable frequency divider. S0 B B S1 B S2 B B B B MC Fig. 2. Swallow counter. 2.2 Programmable divider The 3-bit swallow S-counter used in the programmable divider is shown in Figure 2. It consists of three asynchronous loadable bit-cells, a FF and logic gates to achieve programmability from 0 to 7. The asynchronous loadable bit-cell, as shown in Figure 3 is similar to the bit-cell reported in [7], except the five transistors M1 M5 M6 M9 M14 whose inputs are controlled by the logic signal or B. B is inversed to and when is 2

switched to logic 1, S-counter stops counting. When the S-counter finishes counting down-to-zero, switches to logic 1 and MC switches to logic 0, then the precaler changes to the divide-by-9 mode for the left (P-S) clock cycles [8]. The S-counter can work correctly whatever the loading value is. M1 M6 M10 M15 M19 M2 M7 M11 Pi M16 M20 M23 M4 M3 M8 M5 M9 M12 B M13 M14 M17 M18 M21 M22 M24 B Fig. 3. Bit-cell used in S-counter. P0 P1 P2 Reset A B NOR FF Reset P3 P4 Fig. 4. Programmable counter. The 5-bit programmable P-counter used in the fully programmable divider is shown in Figure 4 [8]. It consists of five asynchronous loadable bit-cells, a NOR-embedded FF and logic gates to allow it to be programmable from 2 to 31. The bit-cell used in the P-counter is similar to the bit-cell reported in [7] with optimized transistor size for low power consumption. When the P-counter finishes counting down-to-two, switches to logic 1 and keeps the state for a clock cycle. It makes sure that bit-cell have enough time to load new counting value [9]. The NOR-embedded FF shown in Figure 5 can be reset by the signal Reset. When Reset switches to logic 1, switches to logic 1 and both P-counter and S-counter load the new counting values. Note that the P-counter can work correctly when the loading value is not less than 2. Beside, in this design, the loading value of P- counter is not less than 8. 3

A B M3 M1 M2 M4 M7 M5 M6 M8 Reset Reset M9 M10 M11 M12 M13 M14 M15 M16 M17 B Fig. 5. NOR-embedded FF with reset function. 2.3 ual-modulus prescaler CLK n CLK n CLK n CLK synchronous 4/5 dual-modulus divider Buffer iv_4/5 CONTROL MC CLK n Buffer MP asynchronous divider Fig. 6. ual-modulus prescaler. The prescaler as shown in Figure 6 employs a synchronous 4/5 dual-modulus divider and an asynchronous divider. The synchronous divider is constructed by three FFs and two OR logic gates. When the mode control signal CONTROL switches to logic 1, only FF1 and FF2 are working in fact. So signal 2b of FF2 feedback to FF1, consequently the synchronous divider divides the signal by 4. When the mode control signal CONTROL switches to logic 0, 3bn+1=2bn and 1n+1=2bn 3bn. So the synchronous divider divides the signal by 5. In this design, source coupled logic (SCL) circuit as shown in Figure 7 is chosen as the FF [10]. To increase the operating frequency and save the power consumption, the OR logic gate is integrated in the SCL circuit directly [10], as shown in Figure 8. Asynchronous divider is constructed by True-signal-phase-clock (TC) circuit [11] and it divides the signal from the synchronous divider by 2. When MC switches to logic 0, the division ratio of prescaler is 2 4 = 8. When MC switches to logic 1, in a whole dividing cycle, the prescaler divides by 8 for 0.5 cycle and divides by 10 for the other 0.5 cycle, so the division ratio of prescaler is 8 0.5 + 10 0.5 = 9. 4

ITM Web of Conferences 17, 01007 (2018) M3 M4 M5 M9 M6 M10 n M11 M12 n M1 M2 n M7 M8 n Fig. 7. SCL circuit. 1 M3a M3b M4 M6 M5 M9 M10 n M11 M12 VB 2 M1 M2 n M7 M8 n Fig. 8. SCL circuit integrated with OR logic gate. 3 Simulated results The layout of the programmable frequency divider is shown in Figure 9. It consists of a Scounter, a P-counter and a dual-modulus prescaler. The area of the whole circuit is 0.258 mm 0.168 mm. Fig. 9. Layout of the proposed divider. The post simulation is performed in Cadence. When the frequency is 6.5 GHz, the inputs and outputs of the prescaler are shown in Figure 10. According to the markers placed in the waveforms in Figure 10, we know the division ratio is 8/9 by simple calculation. The prescaler can work correctly with 0.5-6.5 GHz input range and consumes 3.19 ma when the frequency is 6.5 GHz and supply voltage is 1V. 5

ITM Web of Conferences 17, 01007 (2018) (a) ivide-by-8 (b) ivide-by-9 Fig. 10. Post simulated prescaler input and output waveforms. Fig. 11. Post simulated programmable frequency divider input and output waveforms. The post simulation result of input and output of the whole programmable divider is presented in Figure 11. The counting value of P-counter is 8 and the counting value of Scounter is 1, so the division ratio of the whole programmable divider is 65, as calculated by Equation (1). In the Figure 11, the first waveform is the input signal, whose frequency is 6.5 GHz, the second waveform is the output signal MPout, the third waveform is MC, and the fourth waveform is the output signal of the whole programmable divider IVout. According to the markers placed in the waveform in Figure 11, the division ratio is 65, which is consistent with the value calculated by Equation (1). The highest frequency that Pcounter and S-counter designed by the full custom approach can work at is around 900MHz. The programmable divider can work correctly with the input range of 0.5-6.5 GHz. And it draws 4.99 ma current from 1V supply voltage at the maximum frequency. 4 Measured results The proposed programmable divider is fabricated using standard 0.18-µm CMOS technology with six metal layers. The whole circuit (including pads) occupies a chip area of 0.675mm 0.378mm, and the die micrograph is shown in Figure 12. The measured results of input and output of the whole programmable divider is illustrated in Figure 13. The counting value of P-counter is 16 and the counting value of Scounter is 4, so the division ratio of the whole programmable divider is 132, which is 6

calculated by Equation (1). The frequency of input signal is 6 GHz. In Figure 13, the first waveform is the output signal of the whole programmable divider IVout, whose frequency is 45.45 MHz ; then, the second waveform is the output signal MC ; finally, signal MPout is shown in the third waveform. Figure 14 shows the output frequency spectrogram of the programmable divider. According to the data in the waveform in Figure 13, we can obtain that the division ratio is 132. The measured results states that, when the input frequency varies from 0.5 GHz to 6.0 GHz, the programmable divider can work effectively. With 1V voltage supply, the consumed current is 3.5 ma at the maximum input frequency. VB p n VSS P2 P3 V S0 S2 MPtest MCtest VSSB Reset IVOUT test VB Fig. 12. Chip micrograph of the programmable frequency divider. Fig. 13. Programmable frequency divider s input and output waveforms. Fig. 14. Frequency spectrogram of the output of programmable divider. 7

Fig. 15. Input sensitivity curve of the programmable divider. Shown in Figure 15 is the input sensitivity curve of the programmable divider. As is seen in Figure 15, when the frequency of the input is 5 GHz, the required input power is minimum. Consequently, the programmable divider is most sensitive when the input frequency is around 5 GHz. 5 Conclusion A 1V low-voltage programmable divider in standard 0.18µm TSMC RF CMOS technology is presented. The measured results show that the programmable divider operates correctly with the 0.5-6.5GHz input. At the maximum input frequency, a current of 3.5 ma is drawn from the 1V supply. The Input sensitivity curve of the programmable divider states that when the input frequency is around 5 GHz, the programmable divider is most sensitive and the required input power is minimum. References 1. J. Zhou et al., IEEE T MICROW THEORY, 61, 2, (2013) 2.. Huang, W. Li, J. Zhou, N. Li and J. Chen, IEEE J SOLI-ST CIRC, 46, 6, (2011). 3. R. Chen and H. Hashemi, 2013 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Seattle, WA, (2013). 4. E. Tatschl-Unterberger, S. Cyrusian and M. Ruegg, 2005 IEEE International Symposium on Circuits and Systems, 6, (2005). 5. Y. H. Peng and L. H. Lu, IEEE T MICROW THEORY, 55, 1, (2007). 6. Z. Gao, Y. Xu, P. Sun, E. Yao and Y. Hu, 2010 International Conference on Computer Application and System Modeling (ICCASM 2010), Taiyuan, (2010). 7. X. P. Yu, M. A. o, L. Jia, J. G. Ma and K. S. Yeo, IEEE T VLSI SYST, 13, 9, (2005). 8. V. K. Manthena, M. A. o, C. C. Boon and K. S. Yeo, IEEE T VLSI SYST, 20, 2, (2012). 9. M. Vamshi Krishna, J. Xie, M. A. o, C. C. Boon, K. S. Yeo and A. V. o, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, Madrid, (2010). 10. B. Razavi, RF Microelectronics, Prentice Hall, 432-440, (2011). 11. M. V. Krishna, M. A. o, K. S. Yeo, C. C. Boon and W. M. Lim, IEEE T CIRCUITS-I, 57, 1, (2010). 8