Fully Integrated Switched-Capacitor DC-DC Conversion

Similar documents
Integrated Power Management with Switched-Capacitor DC-DC Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

Design Techniques for Fully Integrated Switched- Capacitor Voltage Regulators

The Road to Integrated Power Conversion via the Switched Capacitor Approach. Prof. Seth Sanders EECS Department, UC Berkeley

A Flying-Domain DC-DC Converter Powering a Cortex-M0 Processor with 90.8% Efficiency

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

Switched-Capacitor Converters: Big & Small. Michael Seeman Ph.D. 2009, UC Berkeley SCV-PELS April 21, 2010

TO ENABLE an energy-efficient operation of many-core

What About Switched Capacitor Converters?

Resonant Clock Design for a Power-efficient, High-volume. x86-64 Microprocessor

High Power Density Power Management IC Module with On-Chip Inductors

Smart Power Delivery using CMOS IC Technology: Promises and Needs

Signal Integrity Design of TSV-Based 3D IC

Resonant Clock Circuits for Energy Recovery Power Reductions

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

THE growing need for energy efficiency while utilizing

Wideband On-die Power Supply Decoupling in High Performance DRAM

CMOS VLSI Design (A3425)

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

High-Conversion-Ratio Switched-Capacitor Step-Up DC-DC Converter

Analysis and Optimization of CMOS Switched-Capacitor Converters

The importance of fully-integrated CMOS: Cost-Effective Integrated DC-DC Converters

6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Multiple Output Converter Based On Modified Dickson Charge PumpVoltage Multiplier

Improvements of LLC Resonant Converter

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

WITH the trend of integrating different modules on a

Decoupling Capacitance

An Area Effcient On-Chip Hybrid Voltage Regulator

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Leakage Power Minimization in Deep-Submicron CMOS circuits

In Search of Powerful Circuits: Developments in Very High Frequency Power Conversion

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

A systematic approach to designing a wide current range, MOS capacitor based switched capacitor DC DC converter with an augmenting LDO

CMOS 0.35 µm Low-Dropout Voltage Regulator using Differentiator Technique

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

SWITCHED CAPACITOR VOLTAGE CONVERTERS

Incorporating Variability into Design

A GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension

Parallel vs. Serial Inter-plane communication using TSVs

Fully Integrated Hybrid Voltage Regulator for Low Voltage Applications. A Thesis Presented. Yongwan Park. The Graduate School

Lecture 9: Clocking for High Performance Processors

NanoFabrics: : Spatial Computing Using Molecular Electronics

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS

Integrated Circuit Design with Nano-Electro-Mechanical Switches

A Deep Trench Capacitor Based 2:1 and 3:2 Reconfigurable On-Chip Switched Capacitor DC-DC Converter in 32 nm SOI CMOS

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

IN recent years, the chip industry has migrated toward chip

Lecture 17. Low Power Circuits and Power Delivery

Power Spring /7/05 L11 Power 1

High-Gain Switched-Inductor Switched-Capacitor Step-Up DC-DC Converter

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Energy-Recovery CMOS Design

FinFET-based Design for Robust Nanoscale SRAM

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Lecture-44. EE5325 Power Management Integrated Circuits

LSI and Circuit Technologies for the SX-8 Supercomputer

Optimization of a Multi-Target Voltages Switched Capacitor Converter

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 51, NO. 4, APRIL

6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

Low Transistor Variability The Key to Energy Efficient ICs

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

A7221 DC-DC CONVERTER/ BUCK (STEP-DOWN) HIGH EFFICIENCY FAST RESPONSE, 2A, 16V INPUT SYNCHRONOUS STEP-DOWN CONVERTER

The Technology Behind the World s Smallest 12V, 10A Voltage Regulator

Digital PWM IC Control Technology and Issues

A Resonance-Free Power Delivery System Design Methodology applying 3D Optimized Extended Adaptive Voltage Positioning.

DC-DC Transformer Multiphase Converter with Transformer Coupling for Two-Stage Architecture

AN-1098 APPLICATION NOTE

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II)

Design and Simulation of Synchronous Buck Converter for Microprocessor Applications

A Lossless Clamp Circuit for Tapped-Inductor Buck Converters*

INVESTIGATION OF ZCS RESONANT-SWITCH DC-DC CONVERTER FOR FULLY MONOLITHIC IC IMPLEMENTATION

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

Lecture 20: Passive Mixers

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

EEE, St Peter s University, India 2 EEE, Vel s University, India

An Enhanced Design Methodology for Resonant Clock. Trees

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

Transcription:

Fully Integrated Switched-Capacitor DC-DC Conversion Elad Alon In collaboration with Hanh-Phuc Le, Seth Sanders Berkeley Wireless Research Center University of California, Berkeley

Multi-Core Chips Are Here Intel Westmere AMD Phenom IBM POWER7 Sun Rainbow Falls 2

Multi-Supply Chips? Separate supply voltages clearly desirable Power management, compensate variability, etc. But, true multi-supply adoption slow Except for power gating Shin, ISSCC 2010 Why not use multiple external converters? 3

Supply Impedance and Split Planes Supply impedance requirement extremely low 1V, 100A part 1mΩ Split power planes bad for impedance Load and decap isolation Reason I/O s often placed on edges even with flip-chip 4

On-Die Voltage Conversion Enables single, low-impedance global input voltage Key challenge: fully integrated DC-DC Energy storage must be integrated on-die too 5

Switching Converter Options Inductor: Capacitor: V x Conversion ratio set by duty cycle Very popular for offchip converters Conversion ratio set by topology Many perceived disadvantages

So Why Switched-Capacitor (SC)? Key motivation: integration with low cost Dense, high-quality capacitance widely available (Development of on-die magnetics can be leveraged for SC converters too) Integrated SC design can mitigate perceived downsides Component count no longer critical What is achievable efficiency, power density? 7

Previous Work Selected Previous Designs Work Breussegem, VLSI 09 Somasekhar, VLSI 09 Technology 130nm Bulk 32nm Bulk Topology 2/1 step-up 2/1 step-up Interleaved Phases 16 32 Converter Area (mm 2 ) 2.25 6.678x10-3 Power density @ η max 0.002 W/mm 2 1.123 W/mm 2 Efficiency (η max ) 82% 60% 8

Review: SC Basics Phase 1: Phase 2: V cap I C load conv V out 9

Switched Capacitor (SC) Basics Phase 1: Phase 2: Conversion ratio set by topology Works in other direction too Step-up: reverse V i, V o 10

SC Converter Loss Mechanisms Intrinsic loss Fundamental to converter operation Switch/parasitic loss Non-idealities of the capacitor(s) and switches 11

SC Converter Loss with Digital Loads Gate delay depends on V dd : Performance set by V min SC converter effective output resistance (for V min ): R eff, Csw = 1 M C f conv, cap conv sw But, load also draws extra current when V dd > V min This power is wasted since it doesn t improve performance Ripple leads to extra loss: P Reff = 2 I 2 load M C f conv, cap conv sw 12

Interleaving Good news: interleaving reduces ripple But leaves V min unchanged in 1 1 sw 1 1 With N int interleaved converters: in 2 2 out P Reff = + 1 I N M C f 2 load 1 int conv, cap conv sw sw 2 2 Ripple minor for ~16+ way interleaving V out D. Ma, Robust Multiple-Phase Switched-Capacitor DC-DC with Digital Interleaving Regulation Scheme, ISLPED 2006. 13

Efficiency Optimization Intrinsic loss Reduced by C density Reduced by f sw Switch/parasitic loss Reduced by switch f T Increased by f sw Efficiency optimization: choose f sw and W sw to balance loss terms 14

Loss Terms Detail 0.9 Optimal f sw Efficiency 0.7 0.5 Sw.-cap R: P Reff Switch R: P Rsw = 2 Iload M C f ccap conv sw M I R W 2 sw load on sw 0.3 0.1 1 10 f sw [GHz] Bottom plate: P = M C V f 2 Cbot bott bot out sw Gate loss: P = W C V f 2 Csw sw sw sw sw 15

Optimized Efficiency Ignoring bottom-plate: P V R C 2 loss sw on sw = 3 3 Mconv, swmconv, cap 2 load out L conv P V R C 16

Optimized Efficiency cont d Efficiency set by conductance density I.e., (I load /V out ) / Conv. Area (Equivalent to power density for given V out ) P V R C P V R C 2 loss sw on sw = 3 3 MswMcap 2 load out L conv Typical numbers (1V) Mobile device: ~0.1 S/mm 2 Processor: ~1 S/mm 2 Efficiency trades off with converter area overhead 17

Side Note Explicit decoupling capacitance usually required for supply integrity Can largely replace decap with converter In order to fit, converter needs to deliver ~10X higher density than load 18

Impact of Bottom-Plate With C bot = k bot C conv : P M V R C P M k V R C 2 loss cap sw on sw = 2 McapMbottkbot + 2 Msw 2 load bott bot out L conv 19

Impact of Bottom-Plate cont d Bottom plate sets min. loss: E.g., 2:1 step-down, 1% bottom plate 10% loss P P 2 M M k loss load cap bott bot In the limit of low power density: Converter area does not affect efficiency Low parasitics more important than cap. density 20

Achievable Performance 45nm, 2:1 converter: 75% - 80% efficiency at 1W/mm 2 Even in standard CMOS Looks promising Reminder: mobile device ~0.1W/mm 2 But, only checked one conversion ratio so far How to handle variable voltages? 21

SC Standard Cell Converter Integrated capacitors/switches easily partitioned Standard cell configuration sets conversion ratio 22

Efficiency vs. Conversion Ratio Change topology, W sw, and f sw R out ~ 1/(Cf sw ) Just like linear regulator Probably need only ~4-5 topologies Clustered around 2:1 Efficiency Watch out for switch drivers 0.8 0.75 0.7 0.65 0.6 0.55 n = 2/3 n = 1/2 n = 1/3 0.5 0.4 0.6 0.8 1 1.2 Vout [V] 23

Prototype Implemented in 32nm SOI test-chip (w/amd) MOS flying capacitors, 32-way interleaved Supports 0.6V ~ 1.2V from 2V input Die photo H.-P. Le, S. Sanders, and E. Alon, Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters, JSSC Sept 2011. 24

Measured Efficiency vs. Power Density Measured with n = 1/2 (Vi = 2V, Vo 0.88V) Matches analysis: ~80% efficiency @ 0.86 W/mm 2 25

Measured Efficiency vs. Topologies All three topologies functional (3:1 efficiency limited by breakdown) Efficiency still >70% for 0.75V 1.15V Vo with 2V Vin 26

Looking Forward: Leveraging 2.5/3D Integration Conv. SoC Core Sw. Cap Core Sw. Cap Core Core Sw. Cap Sw. Cap Enables converter to use entire area over core Mitigates efficiency vs. power density tradeoff Converter die can use older, lower-cost technology While still meeting efficiency & density requirements 27

Looking Forward: Dense Capacitors Barth, ISSCC 2010 Dense capacitors already exist for DRAM/eDRAM IBM edram 2:1 converter*: 90% efficiency @ 2.3A/mm 2 Opportunity to further leverage stacked DRAM *L. Chang et al., A Fully Integrated Switched-Capacitor 2:1 Voltage Converter with Regulation Capability and 90% Efficiency at 2.3A/mm 2, IEEE Symposium on VLSI Circuits, Jun. 2010 28

Summary Clear need for fully-integrated DC-DC converters Multiple off-chip supplies costly, degrade impedance Switched-capacitor converters in standard CMOS can achieve: In 2:1: ~80% efficiency @ 0.86 W/mm 2 >70% efficiency for Vo from ~0.75V to 1.15V with Vi = 2V Low-cost technologies to enable even higher densities, efficiencies already exist 29

Acknowledgments BWRC students, faculty, and staff Focus Center Research Program IFC and C2S2 AMD Sam Naffziger, Vishvesh Sathe, Rich DeSantis IBM Faculty Award 30