A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

Similar documents
Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

1096 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 5, MAY 2014

PHASE-LOCKED loops (PLLs) are widely used in many

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

AS THE operating frequencies of electronic systems

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

DOUBLE DATA RATE (DDR) technology is one solution

AS THE DATA rate demanded by multimedia system

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

A Monotonic, low power and high resolution digitally controlled oscillator

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

DELAY-LOCKED loops (DLLs) have been widely used to

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications

MULTIPHASE clocks are useful in many applications.

Digitally Controlled Delay Lines

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

IN RECENT years, the phase-locked loop (PLL) has been a

/$ IEEE

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Wide frequency range duty cycle correction circuit for DDR interface

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

A High-Resolution Dual-Loop Digital DLL

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

A single-slope 80MS/s ADC using two-step time-to-digital conversion

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

A Frequency Synthesis of All Digital Phase Locked Loop

A Robust Oscillator for Embedded System without External Crystal

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector

REDUCING power consumption and enhancing energy

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

CHAPTER 2 LITERATURE SURVEY

Optimization of Digitally Controlled Oscillator with Low Power

Low Power Glitch Free Delay Lines

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution

WHEN A CMOS technology approaches to a nanometer

A 2.7 to 4.6 GHz Multi-Phase High Resolution and Wide Tuning Range Digitally-Controlled Oscillator in CMOS 65nm

A Symbol-Rate Timing Synchronization Method for Low Power Wireless OFDM Systems Jui-Yuan Yu, Ching-Che Chung, and Chen-Yi Lee

All-digital ramp waveform generator for two-step single-slope ADC

THE reference spur for a phase-locked loop (PLL) is generated

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH

A MASH ΔΣ time-todigital converter based on two-stage time quantization

ALTHOUGH zero-if and low-if architectures have been

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Dedication. To Mum and Dad

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

Delay-based clock generator with edge transmission and reset

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

Simple odd number frequency divider with 50% duty cycle

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Wide Range PLL Using Self-Healing Prescaler/VCO in CMOS

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 5, MAY

Techniques for On-Chip Process Voltage and Temperature Detection and Compensation

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

Available online at ScienceDirect. Procedia Computer Science 57 (2015 )

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation

WITH the growth of data communication in internet, high

Research Article Design of an All-Digital Synchronized Frequency Multiplier Based on a Dual-Loop (D/FLL) Architecture

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

BOOTH RECODED WALLACE TREE MULTIPLIER USING NAND BASED DIGITALLY CONTROLLED DELAY LINES

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Tae-Kwang Jang. Electrical Engineering, University of Michigan

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Transcription:

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information Engineering, National Chung- Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 621, Taiwan 2 Department of Electrical Engineering, Fu Jen Catholic University, 510 Chung-Cheng Rd. Hsin-Chung, Taipei 24205, Taiwan a) wildwolf@cs.ccu.edu.tw Abstract: A wide-range all-digital duty-cycle corrector (ADDCC) with output clock phase alignment is presented in this paper. The proposed ADDCC can correct the duty-cycle error of the input clock to 50% duty-cycle. The acceptable duty-cycle range and frequency range of input clock is from 20% to 80% and from 250 MHz to 1 GHz, respectively. The proposed ADDCC is implemented on a standard performance 65 nm CMOS process, and the power consumption is 1.52 mw at 250 MHz and 5.83 mw at 1 GHz, respectively. Keywords: clocks, delay lines, duty cycle corrector Classification: Integrated circuits References [1] F. Mu and C. Svensson, Pulsewidth control loop in high-speed CMOS clock buffers, IEEE J. Solid-State Circuits, vol. 35, no. 2, pp. 134 141, Feb. 2000. [2] K.-H. Cheng, C.-W. Su, and K. F. Chang, A high linearity, fast-locking pulsewidth control loop with digitally programmable duty cycle correction for wide range operation, IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 399 413, Feb. 2008. [3] Y.-J. Wang, S.-K. Kao, and S.-I. Liu, All-digital delay-locked loop/pulsewidth-control loop with adjustable duty cycles, IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1262 1274, June 2006. [4] Y.-M. Wang and J.-S. Wang, An all-digital 50% duty-cycle corrector, Proc. IEEE International Symposium on Circuit and Systems (ISCAS), pp. 925 928, May 2004. [5] S.-K. Kao and S.-I. Liu, A wide-range all-digital duty-cycle corrector with a period monitor, Proc. IEEE Conference on Electron Devices and Solid-State Circuits (EDSSC), pp. 349 352, Dec. 2007. [6] S.-K. Kao and S.-I. Liu, All-digital fast-locked synchronous duty-cycle corrector, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 53, no. 12, 1245

pp. 1363 1367, Dec. 2006. [7] H.-J. Hsu, C.-C. Tu, and S.-Y. Huang, A high-resolution all-digital phase-lock loop with its application to built-in speed grading for memory, Proc. IEEE Symposium on VLSI Design Automation and Test (VLSI-DAT), pp. 267 270, April 2008. [8] D. Sheng, C.-C. Chung, and C.-Y. Lee, An ultra-low-power and portable digitally controlled oscillator for SoC applications, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 11, pp. 954 958, Nov. 2007. [9] J. C. Ha, J. H. Lim, Y. J. Kim, W. Y. Jung, and J. K. Wee, Unified alldigital duty-cycle and phase correction circuit for QDR I/O interface, Electron. Lett., vol. 44, no. 22, pp. 1300 1301, Oct. 2008. [10] D. Shin, J. Song, H. Chae, and C. Kim, A 7 ps Jitter 0.053 mm 2 fast lock all-digital DLL with a wide range and high resolution DCC, IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2437 2451, Sept. 2009. 1 Introduction In high-speed applications, such as double data rate (DDR) SDRAM or double sampling analog-to-digital converter (ADC), the positive edge and the negative edge of the clock are utilized for sampling the input data. Thus, these systems require an exact 50% duty-cycle of input clock. However, the clock is distributed over the chip using clock buffers, and thus, the duty-cycle of the clock is affected by the unbalanced rise time and fall time of the clock buffers with process, voltage and temperature (PVT) variations. In order to overcome such problem, many approaches have been proposed to adjust the clock duty-cycle to 50% to meet the system requirements, such as pulse-width control loop (PWCL) [1, 2] and duty-cycle corrector (DCC) [3, 4, 5, 6, 9, 10]. The conventional PWCL changes the feedback control voltage to adjust the duty-cycle of the input clock. Based on the architecture requirements, it requires a ring oscillator to produce 50% duty-cycle reference, and the operating range and the acceptable input duty-cycle error are very restricted in this architecture [1]. The operating range of the PWCL can be improved by the linear control stage and the digitally controlled charge pump (DCCP) [2]. However, it takes long lock-in time, and the leakage current problem of the charge-pump makes it not suitable for a nano-meter CMOS process. Recently, different architectural solutions have been proposed to implement the DCC. The synchronous mirror delay (SMD) based all-digital DCC (ADDCC) uses a half-cycle delay line (HCDL) to produce a 50% duty-cycle clock [4, 5]. Although it consumes low power consumption, the HCDL has delay mismatch problems in a nano-meter CMOS process. The time-to-digital converter (TDC) based ADDCC quantizes the period information of the input clock into digital codes, and then a clock with a HCDL is generated using the delay line of the TDC to produce a 50% duty-cycle clock [5, 6]. Nevertheless, the length of the delay line limits the operating frequency range of this architecture, and the output duty-cycle error is restricted by the timing resolution of the TDC. 1246

Fig. 1. (a) The proposed ADDCC, Timing diagram: (b) DLL and (c) DCC, (d) Sampled-based bangbang phase detector, (e) Tiny dead zone phase detector, (f) Coarse DDCC circuit, (g) Fine DDCC circuit. In this paper, a wide-range ADDCC with output clock phase alignment is presented. The proposed high resolution duty-cycle detector with an alldigital duty-cycle correction delay line can overcome the TDC resolution limitations, and the proposed architecture can avoid the half-delay line delay mismatch problems in prior studies. 2 Overall circuit description The block diagram of the proposed ADDCC is shown in Fig. 1 (a). It is composed of an all-digital duty-cycle corrector (DCC) and an all-digital delayc IEICE 2011 1247

locked loop (DLL). The all-digital DCC consists of a duty-cycle detector (DCD), a coarse-tuning digital controlled duty-cycle correction delay line (Coarse DDCC), a fine-tuning digital controlled duty-cycle correction delay line (Fine DDCC), and a DCC controller (DCC CTRL). The all-digital DLL consists of a phase detector (PD), a coarse-tuning digitally controlled delay line (Coarse DCDL), a fine-tuning digital controlled delay line (Fine DCDL), and a DLL controller (DLL CTRL). The timing diagram for the DLL operation is shown in Fig. 1 (b). After system is reset, the DUTY SELECT signal is set to 0, and the PHASE SELECT signal is also set to 0. The input clock (CLK IN) is passed through the DCC s delay line and outputted as X signal. Subsequently, the inverted X signal is then passed through the DLL s delay line and outputted as Y signal. The phase detector (PD) of the DLL compares the phase error between the positive edges of X and Y, and then it outputs DLL UP/DLL DOWN control signals to the DLL CTRL. The DLL CTRL adjusts the delay line control code (DCDL CODE) to compensate for the phase error. When the phase error between X and Y is eliminated, the DLL is locked. After that, two clocks (i.e. X and Y) with complementary duty cycles are generated. Thus, if the period of the input clock (CLK IN) is T, and the duty-cycle of X and Y is A/T and B/T, respectively, the period T is equal to (A+B). After the DLL is locked, the proposed all-digital DCC starts to compensate for the duty-cycle error of the output clock (CLK OUT). The dutycycle detector (DCD) detects the phase error between the negative edges of X and Y, and then it outputs DCC UP/DCC DOWN control signals to the DCC CTRL. The DCC CTRL adjusts the duty-cycle correction delay line control code (DDCC CODE) to enlarge the pulse width of the X signal according to the outputs of the DCD. The timing diagram for the DCC operation is shown in Fig. 1 (c). In the first cycle, the DCC extends the pulse width of the X signal. Then, in the next cycle, the positive edge of the Y signal will lag behind the positive edge of the X signal due to the pulse extension in the previous cycle. Thus in the second cycle, the DCDL CODE is decreased to align the positive edges of X and Y. The same process will be repeated until that both the positive edge and negative edge of X and Y are phase aligned, and then the DCC is locked. The pulse width of the X signal is increased by ΔE, and ΔE is equal to (B-A)/2. Since the period of input clock (CLK IN)isT,(A+ΔE)isequal to T/2(= A + (B A)/2 = (A + B)/2). As a result, after the DCC is locked, the duty-cycle of the CLK OUT is 50%. Once the DCC is locked, PHASE SELECT signal is set to 1. The inputs of the DLL s PD are switched to the CLK IN and the CLK OUT. Then, the DLL will adjust the DCDL CODE to compensate for the phase error between the CLK IN and the CLK OUT. Therefore, the output clock (CLK OUT) can be phase aligned with the input clock (CLK IN). In Fig. 1 (b), after the DLL is locked, if the negative edge of the X signal lags behind the negative edge of the Y signal, which means the duty-cycle of 1248

Fig. 2. (a) Simulation results of 20% 80% input dutycycle at 250 MHz, 500 MHz and 1 GHz, (b) Simulation waveform of 80% input duty-cycle at 250 MHz, (c) Simulation waveform of 20% input duty-cycle at 1 GHz. the input clock is larger than 50%. Then, the DUTY SELECT signal is set to 1, and therefore, the input clock is switched to the inverted CLK IN to guarantee the duty-cycle of X signal is always smaller than 50%. In addition, the output clock is switched to the inverted Y signal, and the DLL will also eliminate the phase error between the CLK IN and the CLK OUT. The operating frequency range of the proposed ADDCC is limited by the length of the DDCC and the DCDL. Based on the requested frequency range for DDR2 and DDR3 I/O bus application, the length of delay line is determined to meet the system requirements. For example, when the input clock frequency is 250 MHz (period=4000 ps) and input duty-cycle is 20%, the DCDL needs to provide a delay time larger than 3200 ps (=4000 ps 80%). Meanwhile, the DDCC needs to compensate for a duty-cycle error with 1200 ps (=4000 ps 30%). 1249

3 Circuit implementation The proposed DCD is composed of a sampled-based PD and a tiny dead zone PD, as shown in Fig. 1 (d) and Fig. 1 (e), respectively. The dead zone of the sampled-based PD is restricted by the dead zone of the D-Flip/Flops. In order to improve the detectable phase error, a sense-amplifier-based PD [7] which can detect a phase error larger than 1 ps in 65 nm CMOS process is applied in the DCD design. Although the tiny dead zone PD has good phase error detection ability, when phase error between X and Y is very large, it will output wrong UP/DOWN pulses due to the MOS leakage current in the internal floating nodes. As a result, in the beginning, the DCC CTRL adjusts the DDCC CODE according to the sampled-based PD s outputs (DCC UP 1 and DCC DOWN 1). After the sampled-based PD is locked, the DCC CTRL continues to adjust the DDCC CODE by the tiny dead zone PD s outputs (DCC UP 2andDCCDOWN 2). The proposed DCD can detect a tiny phase error between the negative edge of X and Y. Therefore, the duty-cycle error of the output clock can be further reduced. The detail circuits of the Coarse DDCC and the Fine DDCC are shown in Fig. 1 (f) and Fig. 1 (g), respectively. The Coarse DDCC is composed of a chain of OR gates to enlarge the pulse width of the SYSTEM CLK. The DDCC Encoder is used to convert the binary control code (DDCC CODE [10:0]) into the thermometer codes (ddcc[55:0] and fine ddcc[31:0]). The Fine DDCC is added to further improve the resolution of the proposed dutycycle correction circuit. In the Fine DDCC, the digitally controlled varactors (DCVs) [8] are applied to improve the resolution of the fine-tuning delay cell to about 3 ps. 4 Experimental results The proposed ADDCC is implemented on a standard performance 65 nm CMOS process with 1.0 V power supply, and the core area is 0.01 mm 2 including the test circuit. The simulation results of the proposed ADDCC are summarized in Fig. 2 (a). The frequency range of the input clock is 250 MHz to 1 GHz, and the duty-cycle range of the input clock is from 20% to 80%. Fig. 2 (b) and Fig. 2 (c) show the simulation waveform of the proposed AD- DCC under different input frequencies and duty-cycle errors. The power consumption of the proposed all-digital DCC is 5.83 mw (@1 GHz) and is 1.52 mw (@250 MHz). The performance comparisons are shown in Table I. In [3, 6, 10], the TDC-based all-digital DCC architecture must have a high resolution TDC to minimize the duty-cycle error. However, it is not easy to design a wide-range high resolution TDC. Therefore, they are not suitable for wide frequency range operation. In [9], the output clock is not phase aligned with the input clock. Compared to prior studies, the proposed ADDCC not only has a wider frequency range, but also has a wider input duty-cycle range. 1250

Table I. Performance Comparisons. 5 Conclusion In this paper, a wide-range all-digital DCC with output clock phase alignment is presented. The proposed DCC architecture can achieve wide-range operation with input frequency ranges from 250 MHz to 1 GHz and input duty-cycle ranges from 20% to 80%. Furthermore, it overcomes the TDC resolution limitations and HCDL delay mismatch problems in prior studies. As a result, it is very suitable for duty-cycle correction applications in system-on-a-chip (SoC) era. 1251