Line edge roughness on photo lithographic masks

Similar documents
Optimizing FinFET Structures with Design-based Metrology

Improving registration metrology by correlation methods based on alias-free image simulation

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Supplementary Figure S1: Schematic view of the confocal laser scanning STED microscope used for STED-RICS. For a detailed description of our

Characterization of e-beam induced resist slimming using etched feature measurements.

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Reducing Proximity Effects in Optical Lithography

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Managing Within Budget

Process Optimization

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA

Registration performance on EUV masks using high-resolution registration metrology

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Copyright 2000 Society of Photo Instrumentation Engineers.

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Using the Normalized Image Log-Slope, part 2

Progresses in NIL Template Fabrication Naoya Hayashi

Defense Technical Information Center Compilation Part Notice

ABSTRACT (100 WORDS) 1. INTRODUCTION

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Pellicle dimensions for high NA photomasks

New CD-SEM System for 100-nm Node Process

the need for an intensifier

2009 International Workshop on EUV Lithography

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Changing the Approach to High Mask Costs

Copy of: Proc. SPIE s 1996 Microelectronic Manufacturing Conference, Vol.2874, October 1996

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Critical Challenges of EUV Mask Blank Volume Production

Exhibit 2 Declaration of Dr. Chris Mack

Golden Curve Method for OPC Signature Stability Control in high MEEF Applications

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

1. INTRODUCTION ABSTRACT

Near-field optical photomask repair with a femtosecond laser

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Extending Acoustic Microscopy for Comprehensive Failure Analysis Applications

Comparison of actinic and non-actinic inspection of programmed defect masks

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Profile Measurement of Resist Surface Using Multi-Array-Probe System

(Complementary E-Beam Lithography)

16nm with 193nm Immersion Lithography and Double Exposure

Inspection of templates for imprint lithography

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Impact of EUV photomask line edge roughness on wafer prints

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

New methodology for through silicon via array macroinspection

Microlens formation using heavily dyed photoresist in a single step

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar

In-line focus monitoring and fast determination of best focus using scatterometry

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Optical Microlithography XXVIII

Development of Nanoimprint Mold Using JBX-9300FS

1 Introduction. Research Article

OPC Rectification of Random Space Patterns in 193nm Lithography

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT

Linewidth control by overexposure in laser lithography

Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Litho Metrology. Program

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

Generic noise criterion curves for sensitive equipment

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Optimization of Existing Centroiding Algorithms for Shack Hartmann Sensor

Measurements of dark current in a CCD imager during light exposures

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

High-Resolution Bubble Printing of Quantum Dots

Trust the Colors with Olympus True Color LED

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Exposure schedule for multiplexing holograms in photopolymer films

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

MEASUREMENT OF ROUGHNESS USING IMAGE PROCESSING. J. Ondra Department of Mechanical Technology Military Academy Brno, Brno, Czech Republic

product overview pco.edge family the most versatile scmos camera portfolio on the market pioneer in scmos image sensor technology

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Double Exposure Using 193nm Negative Tone Photoresist

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT

Drilling of Glass by Excimer Laser Mask Projection Technique Abstract Introduction Experimental details

Spectral phase shaping for high resolution CARS spectroscopy around 3000 cm 1

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

CD-SEM for 65-nm Process Node

EUV Substrate and Blank Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Optical Proximity Effects, part 2

Transcription:

Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line edge roughness (LER) has become a standard topic in the semiconductor industry for its possible yield impact on wafer production. Recently a number of studies address its measurement process to increase the reliability of results. Here, we investigated roughness on photolithographic masks, which is the blue print for wafer production. It is shown that LER influences feature uniformity and thus has a considerable impact on overall mask production yield. To determine the roughness parameters we varied measurement parameters on a scanning electron microscope to optimize reproducibility and repeatability of the obtained values. Two parameters dominate the LER values. The first parameter is the length used to average the signal from the scanning electron microscope and to obtain the position of a single edge point. Good results for this so called summing length were obtained for values above 100 nm. The second important parameter is the total length of the investigated line that is used to calculate the LER. Here, we found that the increase of LER values with increasing investigation length is similar to the well established behavior on wafers. It was found that the average LER value calculated from various sites saturates at investigation lengths larger than 10 µm, whereas single LER results show no scattering within measurement precision for investigation lengths larger than 30 µm independently of mask position. In comparison to similar investigation on wafer both the summing length as well as the investigation length has to be chosen about a magnitude larger. It is suggested that the multi exposure process of mask creates roughness on length scales of the order of several micrometers. Keywords: line-edge roughness, line-width roughness, measurement algorithms, scanning electron microscopy, photo lithographic masks 1. INTRODUCTION The ever decreasing feature sizes on photo masks and wafers demand the extension of the existing set of well accepted norms to quantify refined feature parameters. One of these emerging parameters is the line edge roughness (LER) that describes the uniformity of a single line along a limited length. The parameter found its way to the International Roadmap for Semiconductors for its impact on chip yield. Currently it is part of the metrology of line features on wafers and has seen extensive investigations for methodology of measurements including strong standardization activities by the international SEMATECH and the National Institute of Standards and Technology. The serious concerns regarding LER in the semiconductor industry spurs the desire to investigate its influence also on photo lithographic mask the blue prints of any chip. LER is considered to be a significant contributor to the overall feature uniformity on the mask and in particular for small features it becomes a dominant contributor. One prominent example is the increased feature uniformity on contact layers, which appear as rectangles on the mask, and thus can be measured by means of standard critical dimension (CD) routines only with limited region of interest (ROI). Here a typical result is that contact layer masks have about 20% larger feature uniformity values than masks with extended features like line/space layers although both designs are manufactured by absolutely identical processes. * Jan.Richter@amtc-dresden.com; phone 49 351 4048-255; fax 49 351 9 4048-255; amtc-dresden.com

Uniformity of 160 measurements 3s [nm] 12 10 8 6 4 2 0 Uniformity (3s) 360 nm L/S testmask 1 testmask 2 testmask 3 0 500 1000 1500 2000 2500 measurement window size Figure 1: CD uniformity results for 360 nm lines with varying measurement window size To illustrate this behavior we investigated a lines/space layer at different measurement window sizes. Figure 1 depicts the dependence of feature uniformity on the size of the ROI. It is interesting to note that uniformity increase of 2 nm from window size 2000 nm to 200 nm is identical to the uniformity difference of line/space layers (measurement window size 2000 nm) to contact layers (measurement window size ~200 nm).this directly points to the fact that contact and line/space layers can be considered identical except that the better overall uniformity of line/space patterns stems from suppression of LER effects due to averaging with larger measurement windows. Therefore, LER has a significant yield impact for the production of photo lithographic masks. One challenging task is therefore to reliably determine LER values on photo lithographic masks for technology development and statistical process control. Furthermore, the special situation on mask requires also the necessity to evaluate mask where the boundary conditions of the measurement are not comply with the desired set up (e.g. length of investigated line). 2. EXPERIMENTAL AND RESULTS A scanning electron microscope (Holon EMU 220A) was utilized for the investigations. The field of view was set to 2 µm. To increase the signal to noise ratio we summed 128 single scan images for the analysis giving a sampling time of a about 1 second. Each edge point is determined as an average of a short edge part called summing length (see also Figure 2a). The distance between edge points and their number sets the total measurement length used to measure LER. The reproducibility of LER measurements was investigated by varying both the summing length of a single line scan and the measurement length.

3s[nm] 6.00 5.00 4.00 3.00 2.00 1.00 0.00 LER Repeatability Left (3s) Right (3s) 0 50 100 150 200 250 300 Summing L [nm] LER Left Edge [Pixel] 247.50 247.00 246.50 246.00 245.50 245.00 244.50 244.00 243.50 repetition 1 repetition 1 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 Meas point Figure 2: (a) summing length and total measurement length (b) dependence of reproducibility on summing length (c) two separate runs at optimum summing length (d) difference plot of (c). Our results differ from recent works to determine unbiased values for LER on wafer. In that work the authors used extremely short sampling times by dividing the sampling time in multiple single events and also diminished the summing range to several nm. Although it is very desirable to apply these methods also in mask metrology, the measurement accuracy was found to be too poor to do so. The image quality did not allow decreasing the sampling time. Furthermore, the sharp decrease of repeatability for summing length below 50 nm prevented to apply the same methods on photolithographic masks. It is suggested that this is caused by a significant different charging behaviour. The scanning electron microscope induces charges in the observed structures and while wafer structures lie on silicon with enough electrical conductivity to discharge the exposed area the observed chrome structures on masks reside on glass with very limited electrical conductivity. Thus, the charge remains in the exposed region and influences the measurement signal. From our results we concluded that an optimal summing length is 120 nm, because it allows the measurement of edge points with a small summing length to avoid too much averaging of edge effects without a significant decrease of reproducibility. With this fixed parameter the influence of the total measurement length on LER was investigated.

10.00 LER / LWR dependence on Meas Box Size H (3s) Job 97928 3 sigma [nm] 8.00 6.00 4.00 2.00 left edge right edge cd 0.00 0 10 20 30 40 50 Meas Box Size H [nm] Figure 3: Influence of the total measurement length on LER results. The increase of LER with larger scan lengths is wellknown and the LER seems to saturate already around a length of 10 µm, with negligible variations for larger sizes. In a rough estimate this behavior can be explained with an autocorrelation wavelength in the range of 500 nm. Which is also the dominant contribution in the power spectrum. It is interesting to note that the lower value of 10 µm where the average of the mask saturates is somehow in line by scaling up reported wafer results. Investigations on wafers revealed desirable investigation lengths in the order of 8 times technology node that, considering the 4x demagnification process from mask to wafer, would result in 32 times technology node on the mask. Namely for 90 nm technology that would be only around 3 µm. However, scaling up the proposed value of 2 µm in the SEMI standard this would result in 8 µm on the mask which is in the right ball park.

Range of 3 sigma Valus across the mask [nm] 4.50 4.00 3.50 3.00 2.50 2.00 1.50 1.00 0.50 0.00 0 10 20 30 40 50 left edge right edge cd Meas Bopx Size H [µm] Fig 4 Beside the average of LER results the uniformity of these results were analyzed. Fig 4 depicts the range of 10 LER measurements at different measurement length sizes. It reveals that the range decreases significantly between 10 and 40 µm although the mean value remains constant as seen in fig.3. This behaviour points to another autocorrelation present on the line edge roughness. From the significant contribution between 10 and 20 µm we assume that it has to be in the region of several µm. Here, the only relevant process of that range is the shot size of the electron beam pattern generators. Each mask structure is the result of multiple exposures and each exposure consists of a maximum shot length of about 2 µm. Although this process is averaged with multi parse writes (which might be the root cause of the observed smaller wavelength roughness) it remains the dominant contributor on this length scale. A simple calculation shows that for an assumed correlation length of 2 µm the expected LER difference for measurement length of 300 nm to LER at infinity length is about 50% and this value drops to about 20% at 10 µm and 10% at 20 µm. Therefore, the significant improvement of LER reproducibility can be understood with such a second long range noise wavelength. However, further investigations are necessary to distinguish between mask LER, measurement tool noise and measurement routine related influences. An interesting by-product of these investigations is that the value of LER averaged over the whole mask is comparable to the measurement on a single feature if the measurement is carried out over a length of 40 µm with a summing of 180 nm. Thus, by measuring one standard pattern with sufficient length on the mask and the described parameter set, it would allow for an easy method to standardize LER measurements for different designs and layers and thus enables also to obtain reliable LER results, even for layers with limited feature sizes.

3. CONCLUSION In this paper we described how different parameters influence the measurement of LER on photolithographic masks. With the optimal parameter set very good repeatability was achieved. We found two major contributions to LER. First, a short wavelength noise in the range of 500 nm, that amounts for the most roughness observed on the masks. Second, a long wavelength noise in the range of several µm that is found to significantly influence the reliability of LER results taken on different spots in the mask. While the second contribution is most likely due to the shot accuracy of electron beam writers, the origin of the first noise is unknown. To account for both contributions, the measurement window has to be at least 10 µm to allow reliable averaged LER results and at least 40 µm to allow reliable single measurement LER results. These values are much larger than those found for LER studies on wafers. We conclude that the different fabrication process of photolithographic masks and wafers also requires different set ups for reliable LER measurements. Here, standardization efforts for wafer measurements can not be transferred one to one in to the mask world. REFERENCES M. Ercken, G. Storms, C. Delvaux, N. Vandenbroeck, P. Leunissen, and I. Pollentier, Line Edge Roughness and its Increasing Importance, Proceedings of ARCH Interface 2002. B.D. Bunday, M. Bishop, D. McCormack, J.S. Villarrubua, A.E. Vladar, R. Dixson, T. Vorburger, and N.G. Orji, Determination of Optimal Parameters for CD-SEM Measurement of Line Edge Roughness, Proc. SPIE 5375, 515-533 (2004). J.S. Villarrubua and B.D. Bunday, Unbiased Estimation of Line width Roughness, Proc. SPIE 5752, 480-488 (2005).