Wet particle source identification and reduction using a new filter cleaning process

Similar documents
Polymer Comparisons for the Storage and Trace Metal Analysis of Ultrapure Water with the Agilent 7500cs ICP-MS Application

i- Line Photoresist Development: Replacement Evaluation of OiR

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Contrast Enhancement Materials CEM 365HR

Major Fabrication Steps in MOS Process Flow

CD-SEM for 65-nm Process Node

Lithography Industry Collaborations

Stop Worrying About Interferences With These ICP-OES Solutions

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Lithography. International SEMATECH: A Focus on the Photomask Industry

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

V349 (CLF5043) Halide Free No Clean Core Wire Fine Wire Applications

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Introduction of New Products

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

Pellicle dimensions for high NA photomasks

Agilent Cary 610/620 FTIR microscopes and imaging systems RESOLUTION FOR EVERY APPLICATION

Overlay accuracy a metal layer study

The equipment used share any common features regardless of the! being measured. Electronic detection was not always available.

SWS SWS62221 Spectral Sensor. General Description. Block Diagram

V-SOLDER BON-8103/8203. V-cut solder machine. Applicable solder wire diameter 0.3 ~ 0.8 mm

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

Contrast Enhancement Materials CEM 365iS

Experimental measurement of photoresist modulation curves

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

New CD-SEM System for 100-nm Node Process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Chem466 Lecture Notes. Spring, 2004

Nanovie. Scanning Tunnelling Microscope

Wafer Backside Inspection Applications In Lithography

Brazing Technical Bulletin

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

AquaCLAD Water-Reducible Rust Preventative Alkyd

S1 TITAN Alloy LE Calibrations (P/N: )

Effect of stainless steel chemical composition on brazing ability of filler metal

Optimum cleaning and maintenance

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Inspection of templates for imprint lithography

Characterization of Silicon-based Ultrasonic Nozzles

EUV Substrate and Blank Inspection

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

From ArF Immersion to EUV Lithography

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Jerry P. Hund Director of Education Binks Manufacturing Co W. Belmont Ave. Franklin Park, IL

Rapid Quantification of the A:B mixratio of a 2K Industrial OEM PU paint prior to autoclave thermal activation

Select-a-Size DNA Clean & Concentrator MagBead Kit Catalog No. D4084 & D4085

Okayama Technology Center 7. TAZMO Apprecia Formosa Inc. 8. TAZMO Apprecia Electronics (Shanghai) Inc. 9

Peel Adhesion of Pressure Sensitive Tape

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Certificate of Analysis First issued: July 2000 Version: December 2007 MA-2c

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Supporting Information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Lecture 13 Basic Photolithography

Update on 193nm immersion exposure tool

Quality assurance. OEM Custom Services. comaroptics.com

Innovative Technologies for RF & Power Applications

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar

Optical Requirements

Agilent 8700 LDIR Chemical Imaging System. Bringing Clarity and Unprecedented Speed to Chemical Imaging.

Part 5-1: Lithography

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Application of Visible-Residue Limit for Cleaning Validation Richard J. Forsyth and Vincent Van Nostrand By Richard J. Forsyth,Vincent Van Nostrand

BRASS/BRONZE GRADES STOCKED AUTOMATIC EXCEPTIONS TO THE SPECS LISTED ARE: LINE MARKING AND INSPECTION (I.E. MAG., SONIC, ETC.) MACHINABILITY RATINGS

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

ISMI Industry Productivity Driver

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Bareco Products. Introduction to Petroleum Waxes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

ABSTRACT (100 WORDS) 1. INTRODUCTION

Profiling of Volatile Organic Compounds in Milk and Orange Juice Using Headspace Analysis

Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Hong Kong Association for Testing, Inspection and Certification Ltd.

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Minimizing purification time with high resolution flash chromatography

From Sand to Silicon Making of a Chip Illustrations May 2009

New Aspects of Coin Measurement in Quality Inspection

Ferro Glass Systems can draw on decades of experience in the production and application of ceramic colours, media and silver pastes.

ISMI 450mm Transition Program

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

High-Throughput Precise Dotting in Electronics Assembly

Expert. Elemental Scientific VPD-ICPMS. Fully Automated Auto Scanning System ICP ICPMS AA

Transcription:

Wet particle source identification and reduction using a new filter cleaning process Toru Umeda* a, Akihiko Morita b, Hideki Shimizu b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki, Japan 300-0315; b DAINIPPON SCREEN MFG. CO., LTD., 480-1 Takamiya-cho, Hikone, Shiga, Japan 522-0292 ABSTRACT Wet particle reduction during filter installation and start-up aligns closely with initiatives to reduce both chemical consumption and preventative maintenance time. The present study focuses on the effects of filter materials cleanliness on wet particle defectivity through evaluation of filters that have been treated with a new enhanced cleaning process focused on organic compounds reduction. Little difference in filter performance is observed between the two filter types at a size detection threshold of 60 nm, while clear differences are observed at that of 26 nm. It can be suggested that organic compounds can be identified as a potential source of wet particles. Pall recommends filters that have been treated with the special cleaning process for applications with a critical defect size of less than 60 nm. Standard filter products are capable to satisfy wet particle defect performance criteria in less critical lithography applications. Keywords: Wet particle, Filtration, Cleaning, Organic extractables 1. INTRODUCTION Defect adders on wafer after spin coating, sometimes called wet particles, is a widely used indicator for the readiness of lithography chemical dispense modules. Wet particle reduction during filter installation and start-up aligns closely with initiatives to reduce both chemical consumption and preventative maintenance time. The incidence of wet particles after filter installation has significantly increased since resolution of the on-wafer particle detection metrology has improved to sub-30 nm detection size. Typically, identification of wet particle sources has been very difficult without a method to directly analyze nanoscale particles. Previous work focused on microbubbles from the filter as a possible source and identified several operational recommendations for improved start-up [1-3]. The present study focuses on the effects of filter materials cleanliness on wet particle defectivity through evaluation of filters that have been treated with a new enhanced cleaning process. Furthermore, we explored the relevance of filter extractable results with regard to the identities and origins of wet particles. Spiked test using suspected compounds was conducted to further identify the wet particle source. *toru_umeda@ap.pall.com; phone 81 29 889-1951; fax 81 29 889-1957; pall.com

2.1 Particle cleanliness and solvent extraction tests 2. EXPERIMENTAL Particle cleanliness and solvent extraction tests were conducted in order to compare the impact on wet particle defectivity for a standard commercial point-of-use filter (Pall PhotoKleen EZD-2X PE-Kleen Filter Assembly) and an analogous product that was treated with a new enhanced cleaning process (Pall Xpress EZD-2X PE-Kleen Filter Assembly). Particle cleanliness in ultrapure water (UPW) of sample filters was measured by sampling downstream of each filter using a RION KS-18FX liquid particle counter, which is capable of particle measurement greater than 40 nm in size. Solvent extractions from sample filters using propyleneglycol monomethyl ether acetate (PGMEA) and methylene chloride were generated via 24 hours soaking and subsequent analysis for various contaminants. Inductively coupled plasma mass spectroscopy (ICP-MS, Agilent 7700s) was used to quantify Li, Na, Mg, Al, K, Ca, Mn, Fe, Ni, Cu, Zn and Pb, and gas chromatography mass spectroscopy (GC-MS, Agilent 5975C) was used to quantify organic compounds from the PGMEA extracts. Methylene chloride extracts were evaporated to dryness and then measured gravimetrically for non-volatile residue (NVR). 2.2 Wet particle defectivity Evaluations for wet particle defectivity were conducted using a SOKUDO DUO track system to dispense TOK OK73 solvent through sample filters onto bare silicon wafers. Wet particle measurements were collected using a KLA-Tencor Surfscan SP3 inspection system. Two criteria were used. One is an established >60 nm and the other is a state-of-theart >26 nm. Defect performance trends are resolved by measuring wet particles at 500mL dispense intervals, with flow cessation after 4L. 2.3 Organic compound spike test To identify what organic compounds best contribute the wet particle, we designedly spiked organic compounds into OK73 solvent then conducted wet particle evaluation. One hydrocarbon (hydrocarbon 1-1), two kinds of additives (additives 1-1, 1-2) and one different type additive (additive 2-1) all of which were commonly detected in the standard (non Xpress) lithography process filter extracts, were added at 0.1 mg/l in OK73 solvents, respectively. To remove unwanted particles possibly contain in the non-electronics grade spike reagents, the spiked solvents were then filtered using 20 nm rated all fluoropolymer filter capsule (Pall Mini Kleen-Change Filter Assembly), which does not extract organic compounds of interest. 10 ml of each spiked solvent was spin-coated on 300 mm bare Si wafer and wet particle measurements above 26 nm threshold were collected using the Surfscan SP3 inspection system. An OK73 solvent processed in the same procedure without spiking was used as a blank.

3.1 Particle cleanliness and solvent extraction tests 3. RESULTS AND DISCUSSIONS Results are given in Figures 1-3 and in Table 1. While these data show no significant differences between filter types for >40 nm particle cleanliness and metal extractables, the NVR and organic extractables were far lower for the specially cleaned filters. As the NVR for these HDPE platform filters is believed to be composed of organic compounds, based on FT-IR analyses, the NVR results also indicate that the new enhanced filter cleaning process effectively reduces organic extractables besides those identified by GC-MS results. Extractables amounts in metals, NVR and GC-MS results shown here should be substantially higher than the values at actual use points, because these are acceleration tests conducted in 24 hours soaking and the specimens are the first droplets which is normally flushed out during the filter start up. Figure 1. >40 nm particle count in filter outlet in DI water. Error bar is standard deviation during the measurement period. Figure 2. Metal extractables of filters after 24 hours soaking in PGMEA. Total amount of 12 metal elements. Error bar is max and min from 2 samples.

Figure 3. Non-volatile residue from filters after 24 hours soaking in methylene chloride. Error bar is max and min from 2 samples. Table 1 GC-MS analysis results for organic extractables of point-of-use capsule filters after 24 hours soaking in PGMEA. Semi-quantified using hexadecane calibration. (unit: mg/l), Detection limit=0.15mg/l, Quantification limit=0.5mg/l Library search results Standard filter Filter treated with new cleaning process Sample 1 Sample 2 Sample 1 Sample 2 Hydrocarbon A > 0.15, < 0.5 > 0.15, < 0.5 > 0.15, < 0.5 > 0.15, < 0.5 Hydrocarbon B > 0.15, < 0.5 > 0.15, < 0.5 > 0.15, < 0.5 > 0.15, < 0.5 Hydrocarbon C > 0.15, < 0.5 > 0.15, < 0.5 > 0.15, < 0.5 > 0.15, < 0.5 Hydrocarbon D > 0.15, < 0.5 > 0.15, < 0.5 Not detected Not detected Additive A > 0.15, < 0.5 > 0.15, < 0.5 Not detected Not detected Hydrocarbon E > 0.15, < 0.5 > 0.15, < 0.5 Not detected Not detected Hydrocarbon F > 0.15, < 0.5 > 0.15, < 0.5 Not detected Not detected Additive B > 0.15, < 0.5 > 0.15, < 0.5 Not detected Not detected Additive C > 0.15, < 0.5 > 0.15, < 0.5 Not detected Not detected

3.2 Wet particle defectivity Wet particle trends throughout the filter start-up is given in Figures 4 and 5. For each filter type the two graphs illustrate a significant difference in defect detection capabilities between established metrology capabilities and a state-of-the-art tool. 3.2.1 Established metrology (>60nm) With established metrology, as illustrated in Figure 4, there is little difference observed in filter performance between the two filter types at a size detection threshold of 60 nm. Figure 4. Wet particle count > 60 nm threshold on bare Si wafer vs. fluid consumption after filter installation in SOKUDO DUO. Test fluid was TOK OK73 solvent. Wet particle inspection was conducted using KLA-Tencor Surfscan SP3.

3.2.2 State-of-the-art tool (>26nm) Conversely, clear differences in defect performance are observed at a size detection threshold of 26 nm (Figure 5). Additionally, the more sensitive metrology shows the initial wet particle count after 500 ml dispense with the specially cleaned filter was one-tenth (1/10) that of the standard filter. Further, wet particle excursions due to flow cessation were not observed with the specially cleaned filters, while clearly observed in the standard filter upon flow resumption. Figure 5. Wet particle count > 26 nm threshold on bare Si wafer vs. fluid consumption after filter installation in SOKUDO DUO. Test fluid was TOK OK73 solvent. Wet particle inspection was conducted using KLA-Tencor Surfscan SP3. Based on the results, the newly developed filter cleaning process is effective to reduce organic extractables from the standard filter. It can also be suggested that organic compounds can be identified as a potential source of wet particles. The benefits of the specially cleaned filter are best observed with the most advanced sub-30nm inspection capabilities that are currently available.

3.3 Organic compound spike test Figure 6 shows the wet particle counts on bare Si wafers spin-coated with the spiked OK73 solvents, in terms of melting points of each spiked compounds. The results were significant though having the rather high blank counts which is assumed to be due to manual operations such as sample bottling, transportation and manual dispensing. As a result, wet particle count appears to be associated with the states of the organic compounds, in other words, results in additive 1-1 and 1-2 whose state at the experimental temperature (23 degree C) were liquid and semi-liquid were blank level, but results in hydrocarbon 1-1 and additive 2-1 whose state were solid were substantially greater.. The reason why each state determines wet particle count is assumed as follows. After spin-coating, liquid state organic compounds is undetectable because they may remain as thin liquid film or evaporate, while solid state organic compounds is detectable because they may be crystallized. Figure 6 Wet particle count > 26 nm threshold on bare Si wafer after four kinds of organic compounds spiked OK73 spincoating, in terms of melting points of the spiked compounds. Wet particle inspection was conducted using KLA-Tencor Surfscan SP3.

4. CONCLUSIONS Filters treated with a new enhanced cleaning process, which was specifically developed to reduce organic extractables, was effective in reducing wet particle defects during filter start up and mitigated defect excursion after dispense cessation. This suggests that organic compounds extracted from filter materials of construction may be a source of wet particles that are detectable by state-of-the-art defect metrology tools. Further, the spike test directly indicated that the solid state organic compounds are the potential source of the wet particles found in the standard (non Xpress) lithography process filter installation. Pall recommends filters that have been treated with the special cleaning process (Xpress) for applications with a critical defect size of less than 60 nm. Standard filter products are capable to satisfy wet particle defect performance criteria in less critical lithography applications. The results should contribute both development for next generation filter products and minimizing chemical consumption and preventive maintenance time in the semiconductor device manufacturers. REFERENCES [1] Umeda, T., Tsuzuki, S., Numaguchi, T., Sato, N., Yamamoto, C. and Sato, M., Start up Optimization for Pointof-Use Filter in Lithography Process, Proc. ISSM, p. 497 (2007). [2] Umeda, T., Tsuzuki, S., Numaguchi, T., Effective Start up Study and Factor Analysis for Lithography Process Filter, Proc. ISSM, p. 27 (2010). [3] Umeda, T., Sugiyama, S., Nakamura, T., Momota, M., Sevegney, M., Tsuzuki, S., Numaguchi, T., " Solvent pre-wetting as an effective start-up method for point-of-use filter," Proc. SPIE 8325, 83252H (2012). SOKUDO DUO is a trademark of SOKUDO CO., LTD. KLA-Tencor and Surfscan are trademarks of KLA-Tencor Corporation. PhotoKleen and Mini Kleen-Change are trademarks of Pall Corporation.