The challenges of low power design Karen Yorav

Similar documents
Low Power Design Methods: Design Flows and Kits

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Power Spring /7/05 L11 Power 1

A Survey of the Low Power Design Techniques at the Circuit Level

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Low-Power Digital CMOS Design: A Survey

Low Power Design of Successive Approximation Registers

UNIT-II LOW POWER VLSI DESIGN APPROACHES

POWER GATING. Power-gating parameters

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

EECS 427 Lecture 22: Low and Multiple-Vdd Design

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

A Novel Low-Power Scan Design Technique Using Supply Gating

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Ruixing Yang

VLSI Design Verification and Test Delay Faults II CMPE 646

A Static Power Model for Architects

A Review of Clock Gating Techniques in Low Power Applications

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Design in VLSI

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Low Power Design for Systems on a Chip. Tutorial Outline

Improved DFT for Testing Power Switches

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

INF3430 Clock and Synchronization

Lecture 4&5 CMOS Circuits

Low-Power CMOS VLSI Design

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode

UNIT-III POWER ESTIMATION AND ANALYSIS

Design of Low Power Vlsi Circuits Using Cascode Logic Style

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

White Paper Stratix III Programmable Power

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Low Power Register Design with Integration Clock Gating and Power Gating

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

Chapter 1 Introduction

Policy-Based RTL Design

Module -18 Flip flops

Static Energy Reduction Techniques in Microprocessor Caches

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Ultra Low Power VLSI Design: A Review

IJMIE Volume 2, Issue 3 ISSN:

Exploring the Basics of AC Scan

Design for Testability & Design for Debug

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Design Of A Comparator For Pipelined A/D Converter

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Low power implementation of Trivium stream cipher

LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING

The Need for Gate-Level CDC

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

CMOS Digital Integrated Circuits Analysis and Design

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

Low Power, Area Efficient FinFET Circuit Design

Lecture 1. Tinoosh Mohsenin

Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

Digital Design and System Implementation. Overview of Physical Implementations

Lecture 10. Circuit Pitfalls

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

A Novel Latch design for Low Power Applications

Computer Architecture (TT 2012)

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

Introduction to VLSI ASIC Design and Technology

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

Optimization of power in different circuits using MTCMOS Technique

I DDQ Current Testing

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Lecture 02: Digital Logic Review

Sticks Diagram & Layout. Part II

Advanced Techniques for Using ARM's Power Management Kit

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

Lecture 9: Clocking for High Performance Processors

Transcription:

The challenges of low power design Karen Yorav

The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends and politics of the semiconductor industry It WILL be: An overview of major low-power design techniques, keeping verification in mind

Motivation Portability Battery life Increased functionality Heat generation Huge server farms Environmental awareness Power is as important as performance

Background

A transistor Source Physically layers of doped silicon Gate p-type n-type Drain Logically think of it as a switch Gate 10 Source Drain

A gate Power (1 / Vcc / Vdd) Capacitor charged 0 1 Out =1 =0 Capacitor discharged Ground (0 / Gnd / Vss) 0 =1 1 =0

Where is power consumed? Dynamic power (switching power) Power consumed when the output of a gate changes value Quadratically dependent on voltage P D = K C V dd2 F K C V dd F Switching factor Capacitence Supply voltage Frequency

Where is power consumed? Static power (leakage) Power consumed by each element at all times From several sources Grows exponentially when voltage is reduced Increases as transistor size shrinks W I sub = C ox V th2 e L V GS V T n V th V GS gate-source voltage V T threshold voltage Until recently, leakage was negligible soon to be 50% of overall power dissipation, and worse

Frequency and Voltage lower the voltage Power increase delay Out reduce frequency

Power Vs. Energy Power (Watts) Energy Time Power (Watts) Energy Time

Power Estimation Power consumption depends on: Number of elements Toggling factor of each element Specific cells used (size, shape, technology etc.) Manufacturing variance Operating temperature Difficult to estimate, large error margin Existing tools use: Measurements from previous designs Use models Complicated formulae

Power Verification what is the question? 1. Are there going to be electrical problems? In-rush currents, capacitance, voltage variance, etc. 2. How much power will the design consume? This is Power Characterization, or Power Estimation 3. Is the power scheme implemented as planned? Verifying the power control circuitry 4. Is the design functioning correctly with the implemented power scheme? Verifying functional correctness of circuits that employ low power design techniques

Power Saving Techniques 1. Multiple power domains 2. Frequency and voltage scaling 3. Clock gating 4. Power gating 5. Architectural exploration

Multiple Power Domains Different components run with different voltage/frequency

Multiple Power Domains challenges and solutions Verification issue: asynchronous interfaces Formal solution model the clocks Simulation solution model the clocks

Frequency and Voltage Scaling Dynamically control the voltage and frequency Increase voltage when high performance is required Reduce the voltage when not needed On-chip power circuitry controls the voltage and frequency Several electrical issues if all goes well electrically there is no effect on functionality

Clock Gating Prevent the clock from ticking save dynamic power both inside latches and on the clock distribution network q q c clk c clk Before After Feedback Loop Elimination A special purpose cell, which costs a lot more

Clock Gating Much more effective if the same gating function is applied to large sets of registers c clk Before c clk After

Approximation of Gating Functions Approximation enables gating more latches with the same function Gates less often, but perhaps saves more Requires adding back feedback loop p p clk clk clk q clk q

Clock Gating Cont. Using observability don t care conditions c Use this as the gating function for a and b a b q No longer (Boolean) equivalent to original!

Clock Gating There are tools to automatically apply clock gating at the net-list level Rely on heuristics to determine benefit Hand crafted clock-gating can be more powerful but error-prone

Clock Gating Challenges and Solutions Where and when can we gate the clock? Find gating functions suitable for many latches When done manually Boolean / Sequential equivalence checking When applied to whole blocks / unit (functional gating) Scalability of sequential equivalence tools Do we really reduce power? Need better power estimation capabilities

Power Gating Completely turn off the power to parts of the design when they are not being used Dispatch Vector Int Int FP FP MUX

Power gating Isolation A powered-off gate must not drive powered-on gate OR ELSE! State Retention Powered-off FFs lose their state, when turned on they will have arbitrary values Save content of important FFs in an always-on power domain Copy back the state when power is restored Power Management Control power-off and power-on sequences We are ignoring many electrical issues! Vector Dispatch Int Int MUX FP FP Ret Ret PM

Modeling power-gated designs Electrically: Isolation elements prevent back current During power-on latches have un-defined values (X value) When power stabilizes latches have arbitrary values Functionally: Isolation elements are simple gates Powered-off Flip-Flops are non-deterministic isolation activated

Verification of Power Gated Designs Isolation Is it possible for a powered-off element to drive a powered-on element? Fundamentally a structural check Control Does the power management machine obey all constraints? Interaction Between power-gated and always-on domains Between power-gated domains that are switched independently

Verification of Power Gated Designs In simulation: Extra mode of operation increase in coverage space Non-determinism to model power-on state dramatic increase in coverage space Solution: use 3-valued simulation slight abstraction simulation is significantly slower cover more space with a single run

Interrupt a word on X Different communities use different X differently The electrical X An un-determined voltage that may be interpreted as either 0 or 1 if Vdd=1v then 0.5 is undetermined, if Vdd=5v then 0.5 is a definite zero The logical X Either 0 or 1, we do not know which X 0 = 0 X 1 = X XX = X An abstraction of a constant, loses information (In STE this would be the top value) Don t care Meaning this value is not important

Verification of Power Gated Designs Formal Verification: Power gating is normally done at the unit level typically too large for model checking Increased state-space due to non-determinism Recently developed methodology: Perform functional verification with power gating disabled Use sequential equivalence checking to prove that enabling power gating does not change the functional behavior Sequential equivalence is much easier in this setup Functional Verification of Power Gated Designs by Compositional Reasoning, CAV 08

Sequential Equivalence for Power Gating

Sequential Equivalence for Power Gating

Sequential Equivalence for Power Gating

Sequential Equivalence for Power Gating

Other issues with Power Gating Scan chains When is it beneficial to turn off a unit? Fine grain Vs. coarse grain power gating Reset Vs. state retention

Summary of low-power design techniques Powerreduction technique Benefit Timing penalty Area Penalty Methodology Impact Arch. Design Verif. Impl. Clock gating Medium Little Little Low Low None Low Multi voltage Large Some Little High Medium Low Medium Frequency and voltage scaling Large Some Some High High High High Power gating Huge Some Some High High High High

Architectural exploration The highest potential for reducing power consumption is at the system architecture level system partitioning bandwidth on busses pipelining redundancy performance-critical blocks a b Mult c Mult Use architectural exploration tools power / performance tradeoff a Mult Power estimation tools are not accurate b c ctrl

Design Flow of Low Power Applications

The design flow It takes a village to do low-power design (Dylan McGrath, EETimes) Logic verification Performance evaluation Performance evaluation Testing

Power Specification A standard language for describing power design power domains power modes power lines / switches / fences / retention registers voltages CPF Common Power Format Developed as a standard by the Si2 organization Donated by Cadence UPF Unified Power Format Approved as a standard by Accellera, now being worked on as an IEEE standard Based on donations by Synopsys, Mentor Graphics, and Magma Design Automation

I recommend: Low power methodology manual for system-on-chip design Michael Keating, David Flynn, Robert Aitken, Alan Gibbons, Kaijian Shi Many thanks to: Eli Arbel, Sharon Barner, Cindy Eisner, Amir Nahir, Orna Raz, Giora Yorav