LC75847T/D. 1/3, 1/4-Duty General-Purpose LCD Driver

Similar documents
LC75836WS-T/D. 1/4-Duty General-Purpose LCD Driver

LC75836W/D. 1/4-Duty General-Purpose LCD Driver

CCB is ON Semiconductor s original format. All addresses are managed by ON Semiconductor for this format.

CCB is ON Semiconductor s original format. All addresses are managed by ON Semiconductor for this format.

LC450029PKB/D. 1/4 and 1/3-Duty General-Purpose LCD Driver

LC79430KNE. Overview. Features. CMOS LSI Dot-Matrix LCD Drivers

LC79401KNE. Overview. Features. CMOS LSI Dot-Matrix LCD Drivers

LC75857E LC75857W. SANYO Semiconductors DATA SHEET. Preliminary. Overview. Features. CMOS IC 1/3, 1/4 Duty LCD Display Drivers with Key Input Function

Excellent Power Device Dual buffer driver for general purpose, Dual SOIC8

Excellent Power Device Dual inverter driver for general purpose, Dual SOIC8

LB1843V. Specifications. Monolithic Linear IC Low-saturation, current-controlled bidirectional motor driver. SSOP20 (225mil)

LB11961/D. Single-Phase Full-Wave Fan Motor Driver. Specifications Absolute Maximum Ratings at Ta = 25 C (Note1)

LV8400V. Forward/Reverse Motor Driver. Bi-CMOS IC

LA1654C. Function RF amplifier, rectifier, detector, time code output, and standby circuit.

LB1945D. PWM Current Control Stepping Motor Driver

unit: mm 3159-QFP64E unit: mm 3190-SQFP64

LA6581DM. Fan Motor Driver BLT Driver Single-Phase Full-Wave

Overview The LA1225MC is a Low-voltage operation (1.8V or higher) FM IF detector IC for the electronic tuning system.

Built-in low voltage reset and thermal shutdown circuit Output ON resistance (Upper and lower total 0.27Ω; Ts=25 C, IO=1.0A)

LV8402V. 2ch Forward/Reverse Motor Driver. Bi-CMOS IC

LC7574NE, 7574NW. 1/2 Duty VFD Driver for Frequency Display

Overview The LA5744MP is a separately-excited step-down switching regulator (variable type).

LB11851FA. Monolithic Digital IC Microprocessor Fan Motor Interface Driver. Ordering number: ENA

EFC2J013NUZ/D. Power MOSFET for 1-Cell Lithium-ion Battery Protection 12 V, 5.8 mω, 17 A, Dual N-Channel

SMA3107. MMIC Amplifier, 3V, 6mA, 0.1 to 2.8GHz, MCPH6. Features. Specifications

Parameter Symbol Conditions Ratings Unit

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at

SMA3117. MMIC Amplifiler, 5V, 22.7mA, 0.1 to 3GHz, MCPH6. Features. Specifications

Built-in low voltage reset and thermal shutdown circuit Compact TSSOP-24 package

J109 / MMBFJ108 N-Channel Switch

Monolithic Digital IC 2-ch H-Bridge Constant Current Driver

SMA3109. MMIC Amplifier, 3V, 16mA, 0.1 to 3.6GHz, MCPH6. Features. Specifications. Low current. : ICC=16mA typ. Absolute Maximum Ratings at Ta=25 C

LA5774. Overview The LA5774 is a Separately-excited step-down switching regulator (variable type).

Planar Ultrafast Rectifier Fast trr type, 20A, 600V, 50ns, TO-220F-2FS

74VHC14 Hex Schmitt Inverter

MM74HC14 Hex Inverting Schmitt Trigger

EMH1307. P-Channel Power MOSFET 20V, 6.5A, 26mΩ, Single EMH8. Features. Specifications. Input Capacitance Ciss=1100pF(typ.) Halogen free compliance

Tc=25 C 3.5 W When mounted on ceramic substrate (600mm 2 0.8mm) 1.3 W Junction Temperature Tj 150 C Storage Temperature Tstg - 55 to +150 C

SBE805. Schottky Barrier Diode 30V, 0.5A, Low IR. Features. Specifications

3LP01S. P-Channel Small Signal MOSFET 30V, 0.1A, 10.4Ω, Single SMCP. Features. Specifications. Low ON-resistance Ultrahigh-speed switching 2.

Is Now Part of To learn more about ON Semiconductor, please visit our website at

MM74HC04 Hex Inverter

LA4450. Specifications. Monolithic Linear IC 2-Channel, 26V, Power Amplifier for Bus and Track in Car Stereo. SIP x13.

LB11620GP/D. Three-Phase Direct PWM Brushless Motor Driver

Value Parameter Symbol Conditions

LV8163QA. Specifications Absolute Maximum Ratings at Ta = 25 C. Bi-CMOS IC Fan Motor Driver Single-Phase Full-Wave Driver

Storage temperature Tstg 55 to C *1 Specified board: 76.1mm x 114.3mm x 1.6mm, glass epoxy board *2 Do not exceed Tjmax=150 C

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at

PCS2I2309NZ. 3.3 V 1:9 Clock Buffer

BAV103 High Voltage, General Purpose Diode

High Speed Switching ESD Diode-Protected Gate C/W

CPH3910. N-Channel JFET 25V, 20 to 40mA, 40mS, CPH3. Applications. Features. Specifications. For AM tuner RF amplification Low noise amplifier

Is Now Part of To learn more about ON Semiconductor, please visit our website at

LB11961V. Monolithic Digital IC Single-Phase Full-Wave Fan Motor Driver. Ordering number : EN8794B.

NSVJ3910SB3 N-Channel JFET 25V, 20 to 40mA, 40mS

NSVF6003SB6/D. RF Transistor 12 V, 150 ma, ft = 7 GHz, NPN Single

PIN Diode Dual series Pin Diode for VHF, UHF and AGC 50V, 50mA, rs=max 4.5Ω, MCP

LB11685VH. Specifications Maximum Ratings at Ta = 25 C. Monolithic Digital IC 3-phase sensor less Motor driver

3LP01SS. P-Channel Small Signal MOSFET 30V, 0.1A, 10.4Ω, Single SSFP. Features. Specifications. Low ON-resistance High-speed switching 2.

2SJ661. P-Channel Power MOSFET 60V, 38A, 39mΩ, TO-262-3L/TO-263-2L. Features. Specifications. ON-resistance RDS(on)1=29.5mΩ(typ.

2SK4177. N-Channel Power MOSFET 1500V, 2A, 13Ω, TO-263-2L. Features. Specifications. ON-resistance RDS(on)=10Ω(typ.) 10V drive

NC7SZ175 TinyLogic UHS D-Type Flip-Flop with Asynchronous Clear

LC898302AXA Advance Information

AND9518/D DAB L-band Amplifier using the NSVF4020SG4

Is Now Part of To learn more about ON Semiconductor, please visit our website at

2SK3747. N-Channel Power MOSFET 1500V, 2A, 13Ω, TO-3PF-3L. Features. Specifications

Is Now Part of To learn more about ON Semiconductor, please visit our website at

FJP13007 High Voltage Fast-Switching NPN Power Transistor

MCH6664. P-Channel Power MOSFET 30V, 1.5A, 325mΩ, Dual MCPH6. Features

MCH3383. Power MOSFET 12V, 69mΩ, 3.5A, Single P-Channel

6HP04MH. P-Channel Small Single MOSFET 60V, 370mA, 4.2Ω Single MCPH3. Features. Specifications Absolute Maximum Ratings at Ta=25 C

TIG067SS. N-Channel IGBT 400V, 150A, VCE(sat);3.8V Single SOIC8. Features. Specifications. TIG 067 LOT No.

ATP114. P-Channel Power MOSFET 60V, 55A, 16mΩ, Single ATPAK. Features. Specifications. ON-resistance RDS(on)1=12mΩ(typ.) 4V drive Protection diode in

Low-Frequency general-purpose amplifier, impedance conversion, infrared sensor applications

2SK4124. N-Channel Power MOSFET 500V, 20A, 430mΩ, TO-3P-3L. Features. Specifications

This product is designed to ESD immunity < 200V*, so please take care when handling. * Machine Model

CPH3455. Power MOSFET 35V, 104mΩ, 3A, Single N-Channel

BBS3002. P-Channel Power MOSFET 60V, 100A, 5.8mΩ, TO-263-2L/TO-263. Features. Specifications TO-263

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Fast reverse recovery time (trr max=10ns) Low switching noise Low leakage current and high reliability due to highly reliable planar structure

Monolithic Digital IC PWM Current Control Stepping Motor Driver

Protection diode in Halogen free compliance

SMP3003. P-Channel Power MOSFET 75V, 100A, 8.0mΩ, TO-263-2L/TO-263. Features. Specifications TO-263

Is Now Part of To learn more about ON Semiconductor, please visit our website at

ELECTRICAL CONNECTION

CPH3360. Power MOSFET 30V, 303mΩ, 1.6A, Single P-Channel

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Allowable Power Dissipation Tc=25 C 23 W Channel Temperature Tch 150 C Storage Temperature Tstg --55 to +150 C

P2042A LCD Panel EMI Reduction IC

FFSP1065A/D. Silicon Carbide Schottky Diode 650 V, 10 A Features. FFSP1065A Silicon Carbide Schottky Diode. Description.

MCH3382. Power MOSFET 12V, 198mΩ, 2A, Single P-Channel

LB8503V. Monolithic Digital IC DC Fan Motor Speed Control IC. Ordering number : ENA

Overview The LA5735MC is a separately-excited step-down switching regulator (variable type).

ECH8663R. N-Channel Power MOSFET 30V, 8A, 20.5mΩ, Dual ECH8. Features. Specifications

LB1939T 2 Channel H Bridge Constant Voltage/Constant Current Driver

50V, 0.5A, Low IR, Monolithic Dual CP Common Cathode

MCH6331. Power MOSFET 30V, 98mΩ, 3.5A, Single P-Channel

ECH8660. Power MOSFET 30V, 4.5A, 59mΩ, 30V, 4.5A, 59mΩ, Complementary Dual ECH8. Features. Specifications

Transcription:

/3, /4-Duty General-Purpose LCD Driver Overview The LC75847T is /3 duty and /4 duty general-purpose LCD driver that can be used for frequency display in electronic tuners under the control of a microcontroller. The LC75847T can drive an LCD with up to 42 segments directly. The LC75847T can also control up to 8 general-purpose output ports. www.onsemi.com Features Switching between /3 duty and /4 duty drive techniques under serial data control. Switching between /2 bias and /3 bias drive techniques under serial data control. Up to 38 segments for /3 duty drive and 42 segments for /4 duty drive can be displayed. Serial data input supports CCB* format communication with the system controller. Serial data control of the power-saving mode based backup function and all the segments forced off function. Serial data control of switching between the segment output port and the general-purpose output port functions. Serial data control of frame frequency for common and segment output waveforms. High generality, since display data is displayed directly without decoder intervention. Built-in display contrast adjustment circuit Independent VLCD for the LCD driver block The INH pin can force the display to the off state. RC oscillator circuit TQFP2 4x4 / TQFP2 Specifications Absolute Maximum Ratings at Ta = 25 C, VSS = V Parameter Symbol Conditions Ratings unit V DD max V DD.3 to +7. Maximum supply voltage V V LCD max V LCD.3 to +7. Input voltage Output voltage Output current V IN,,, INH.3 to +7. V IN 2 OSC.3 to V DD +.3 V IN 3 V LCD, V LCD 2.3 to V LCD +.3 V OUT OSC.3 to V DD +.3 V OUT 2 VLCD, S to S6, COM to COM4, P to P8.3 to V LCD +.3 I OUT S to S6 3 A I OUT 2 COM to COM4 3 ma I OUT 3 P to P8 5 ma Allowable power dissipation Pd max Ta = 85 C 2 mw Operating temperature Topr 4 to +85 C Storage temperature Tstg 55 to +25 C Stresses exceeding those listed in the Maximum Ratings table may damage the device. If any of these limits are exceeded, device functionality should not be assumed, damage may occur and reliability may be affected. V V * Computer Control Bus (CCB) is an ON Semiconductor s original bus format and the bus addresses are controlled by ON Semiconductor. ORDERING INFORMATION See detailed ordering and shipping information on page 28 of this data sheet. Semiconductor Components Industries, LLC, 27 Publication Order Number : July 27 - Rev. LC75847T/D

Allowable Operating Ranges at Ta = 4 to +85 C, V SS = V Ratings Parameter Symbol Conditions min typ max V DD V DD 2.7 6. Supply voltage V LCD, V LCD =.7 V LCD to.95 V LCD 4. 6. V V LCD V LCD, V LCD = V LCD 2.7 6. Output voltage V LCD V LCD 2.7 V LCD V Input voltage V LCD V LCD 2/3 V LCD V LCD V LCD 2 V LCD 2 /3 V LCD V LCD V Input high level voltage V IH,,, INH.8 V DD 6. V Input low level voltage V IL,,, INH.2 V DD V Recommended external resistance R OSC OSC 39 k Recommended external capacitance C OSC OSC pf Guaranteed oscillation range f OSC OSC 9 38 76 khz Data setup time t ds, : Figure 2 6 ns Data hold time t dh, : Figure 2 6 ns wait time t cp, : Figure 2 6 ns setup time t cs, : Figure 2 6 ns hold time t ch, : Figure 2 6 ns High level clock pulse width t øh : Figure 2 6 ns Low level clock pulse width t øl : Figure 2 6 ns Rise time t r,, : Figure 2 6 ns Fall time t f,, : Figure 2 6 ns INH switching time t c INH, : Figure 3, Figure 4 μs Unit Functional operation above the stresses listed in the Recommended Operating Ranges is not implied. Extended exposure to stresses beyond the Recommended Operating Ranges limits may affect device reliability. www.onsemi.com 2

Electrical Characteristics for the allowable operating ranges Ratings Parameter Symbol Conditions min typ max Unit Hysteresis V H,,, INH. V DD V Input high level current I IH,,, INH: V I = 6. V 5. μa Input low level current I IL,,, INH: V I = V 5. μa V OH S to S6: I O = 2 μa V LCD.9 Output high level voltage V OH 2 COM to COM4: I O = μa V LCD.9 V V OH 3 P to P8: I O = ma V LCD.9 V OL S to S6: I O = 2 μa.9 Output low level voltage V OL 2 COM to COM4: I O = μa.9 V V OL 3 P to P8: I O = ma.9 V MID V MID 2 COM to COM4: /2 bias, I O =±μa S to S6: /3 bias, I O =±2μA Output middle level voltage* V MID 3 S to S6: /3 bias, I O =±2μA V MID 4 COM to COM4: /3 bias, I O =±μa Note: * Excluding the bias voltage generation divider resistors built in the V LCD, V LCD, V LCD 2, and V SS. (See Figure.) /2 V LCD /2 V LCD.9 +.9 2/3 V LCD 2/3 V LCD.9 +.9 /3 V LCD /3 V LCD.9 +.9 2/3 V LCD 2/3 V LCD.9 +.9 V MID 5 COM to COM4: /3 bias, I O =±μa /3 V LCD /3 V LCD.9 +.9 Oscillator frequency f OSC OSC: R OSC = 39 k, C OSC = pf 3.4 38 45.6 khz I DD V DD : Power-saving mode 5 I DD 2 V DD : V DD = 6. V, output open, f OSC = 38 khz 25 5 I LCD V LCD : Power-saving mode 5 Current drain I LCD 2 I LCD 3 I LCD 4 I LCD 5 V LCD : V LCD = 6. V, output open, /2 bias, f OSC = 38 khz, V LCD =.7 V LCD to.95 V LCD V LCD : V LCD = 6. V, output open, /2 bias, f OSC = 38 khz, V LCD = V LCD V LCD : V LCD = 6. V, output open, /3 bias, f OSC = 38 khz, V LCD =.7 V LCD to.95 V LCD V LCD : V LCD = 6. V, output open, /3 bias, f OSC = 38 khz, V LCD = V LCD 4 8 35 7 3 6 25 5 V μa VLCD CONTRAST ADJUSTER VLCD VSS To the common segment drivers Except these resistors Figure Product parametric performance is indicated in the Electrical Characteristics for the listed test conditions, unless otherwise noted. Product performance may not be indicated by the Electrical Characteristics if operated under different conditions. www.onsemi.com 3

. When is stopped at the low level VIH VIL VIH 5% VIL tøh tøl tr tf tcp tcs tch VIH VIL tds tdh 2. When is stopped at the high level VIH VIL tøl tøh VIH 5% VIL tf tr tcp tcs tch VIH VIL tds tdh Figure 2 Block Diagram COM COM2 COM3 COM4/S6 COMMON DRIVER SEGMENT DRIVER & LATCH OSC VDD VLCD VLCD VSS OCK GENERATOR CONTRAST ADJUSTER SHIFT REGISTER ADDRESS DETECTOR INH S5 S4 S9 S8/P8 S2/P2 S/P Page 4

Pin Functions Symbol Pin No. Function Active I/O Handling when unused S/P to S8/P8 S9 to S5 to 8 9 to 5 Segment outputs for displaying the display data transferred by serial data input. The pins S/P to S8/P8 can be used as general-purpose output ports when so set up by the control data. O Open COM to COM3 COM4/S6 Common driver outputs. 9 to 7 The frame frequency is f O Hz. 6 The COM4/S6 pin can be used as a segment output in /3 duty. O Open OSC 6 Oscillator connection. An oscillator circuit is formed by connecting an external resistor and capacitor to this pin. I/O V DD 8 Serial data transfer inputs. These pins are connected to the control microprocessor. H I 9 2 : Chip enable : Synchronization clock : Transfer data I I GND Display off control input INH 7 INH = low (V SS )...Off S/P to S8/P8 = low (V SS ) (These pins are forcibly set to the segment output port function and fixed at the V SS level.) S9 to S5 = low (V SS ) COM to COM3 = low (V SS ) COM4/S6 = low (V SS ) L I GND INH = high (V DD )..On Note that serial data transfers can be performed when the display is forced off by this pin. V LCD 2 LCD drive 3/3 bias voltage (high level) supply. This level can be modified using the display contrast adjustment circuit. However, note that V LCD must be greater than or equal to 2.7 V. Also, since this IC provides the built-in display contrast adjustment circuit, applications must not attempt to provide this level from external circuits. O Open V LCD 3 LCD drive 2/3 bias voltage (middle level) supply. It is possible to supply the 2/3 V LCD voltage to this pin externally. This pin must be shorted to V LCD 2 if /2 bias is used. I Open V LCD 2 4 LCD drive /3 bias voltage (middle level) supply. It is possible to supply the /3 V LCD voltage to this pin externally. This pin must be shorted to V LCD if /2 bias is used. I Open V DD Logic block power supply. Provide a voltage in the range 2.7 to 6. V. V LCD LCD driver block power supply. When V LCD is between.7 V LCD and.95 V LCD, supply a voltage in the range 4. to 6. V. When V LCD and V LCD will be equal, supply a voltage in the range 2.7 to 6. V. V SS 5 Ground pin. Connect to ground. Page 5

Page 6 LC75847T S96 COM2 VLCD VDD S75 S8 S82 S83 S74 S76 S77 S78 S79 S8 S6 S62 S63 S64 S65 S66 S67 S68 S69 S7 S7 S72 S73 P5/S5 S P4/S4 P3/S3 P2/S2 P/S S97 S99 S98 S LC75847T (TQFP2) S S2 S3 S5 S4 COM3 S6/COM4 COM VLCD VSS OSC INH S4 S39 S38 S37 S36 S34 S35 S32 S33 S3 6 9 6 9 3 2 3 S S9 P8/S8 P7/S7 P6/S6 S6 S22 S5 S4 S3 S2 S2 S2 S9 S8 S7 S25 S24 S23 S45 S44 S43 S42 S4 S5 S49 S48 S47 S46 S55 S54 S53 S52 S5 S26 S27 S29 S3 S28 S6 S59 S58 S57 S56 S85 S84 S86 S87 S88 S89 S9 S9 S92 S93 S94 S95 Pin Assignment

Serial Data Transfer Format. /3 duty When is stopped at the low level D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 CCB address Control data DD 2 bits B B B2 B3 A A A2 A3 CCB address D9 D22 D23 5 bits Fixed data 2 bits DD 2 bits B B B2 B3 A A A2 A3 CCB address D24 5 bits D37 D38 Fixed data 2 bits DD 2 bits Note: DD Direction data. Page 7

2 When is stopped at the high level D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 CCB address Control data DD 2 bits B B B2 B3 A A A2 A3 CCB address D9 D22 D23 5 bits Fixed data 2 bits DD 2 bits B B B2 B3 A A A2 A3 CCB address D24 D37 D38 5 bits Fixed data 2 bits DD 2 bits Note: DD Direction data. CCB address...85h D to D38... P to P3...Segment output port/general-purpose output port switching control data CT to CT2...Control data that sets the display contrast DR.../2 bias drive or /3 bias drive switching control data DT.../3 duty drive or /4 duty drive switching control data FC...Common and segment output waveforms frame frequency setting control data SC...Segments on/off control data BU...Normal mode/power-saving mode control data Page 8

2. /4 duty When is stopped at the low level D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 CCB address Control data DD 2 bits B B B2 B3 A A A2 A3 CCB address D9 4 bits D22 Fixed data 22 bits DD 2 bits B B B2 B3 A A A2 A3 CCB address D23 D36 4 bits Fixed data 22 bits DD 2 bits B B B2 B3 A A A2 A3 CCB address D37 4 bits D42 Fixed data 22 bits DD 2 bits Note: DD Direction data. Page 9

2 When is stopped at the high level D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 CCB address Control data DD 2 bits B B B2 B3 A A A2 A3 CCB address D9 4 bits D22 Fixed data 22 bits DD 2 bits B B B2 B3 A A A2 A3 CCB address D23 D36 4 bits Fixed data 22 bits DD 2 bits B B B2 B3 A A A2 A3 CCB address D37 4 bits D42 Fixed data 22 bits DD 2 bits Note: DD Direction data. CCB address...85h D to D42... P to P3...Segment output port/general-purpose output port switching control data CT to CT2...Control data that sets the display contrast DR.../2 bias drive or /3 bias drive switching control data DT.../3 duty drive or /4 duty drive switching control data FC...Common and segment output waveforms frame frequency setting control data SC...Segments on/off control data BU...Normal mode/power-saving mode control data Page

Serial Data Transfer Example. /3 duty When 24 or more segments are used All 384 bits of serial data must be sent. B B B2 B3 A A A2 A3 2 D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 D9 D22 D23 B B B2 B3 A A A2 A3 D24 D37 D38 2 When fewer than 24 segments are used Either 28 or 256 bits of serial data may be sent, depending on the number of segments used. However, the serial data shown below (the D to D8 display data and the control data) must be sent. 2 D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 2. /4 duty When 37 or more segments are used All 52 bits of serial data must be sent. B B B2 B3 A A A2 A3 2 D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 D9 D22 B B B2 B3 A A A2 A3 D23 D36 B B B2 B3 A A A2 A3 D37 D42 2 When fewer than 37 segments are used Either 28, 256 or 384 bits of serial data may be sent, depending on the number of segments used. However, the serial data shown below (the D to D8 display data and the control data) must be sent. 2 D D4 D5 D6 D7 D8 P P P2 P3 CT CT CT2 DR DT FC SC BU B B B2 B3 A A A2 A3 Page

Control Data Functions. P to P3: Segment output port/general-purpose output port switching control data. These control data bits switch the S/P to S8/P8 output pins between their segment output port and generalpurpose output port functions. Control data Note: Sn (n = to 8): Segment output ports Pn (n = to 8): General-purpose output ports Output pin state P P P2 P3 S/P S2/P2 S3/P3 S4/P4 S5/P5 S6/P6 S7/P7 S8/P8 S S2 S3 S4 S5 S6 S7 S8 P S2 S3 S4 S5 S6 S7 S8 P P2 S3 S4 S5 S6 S7 S8 P P2 P3 S4 S5 S6 S7 S8 P P2 P3 P4 S5 S6 S7 S8 P P2 P3 P4 P5 S6 S7 S8 P P2 P3 P4 P5 P6 S7 S8 P P2 P3 P4 P5 P6 P7 S8 P P2 P3 P4 P5 P6 P7 P8 Also note that when the general-purpose output port function is selected, the output pins and the display data will have the correspondences listed in the tables below. Output pin Corresponding display data /3 duty /4 duty S/P D D S2/P2 D4 D5 S3/P3 D7 D9 S4/P4 D D3 S5/P5 D3 D7 S6/P6 D6 D2 S7/P7 D9 D25 S8/P8 D22 D29 For example, when /4 duty drive scheme is used, if the general-purpose output port function is selected for the S4/P4 output pin, that output pin will output a high level (V LCD ) when the display data D3 is, and a low level (V SS ) when the D3 is. 2. CT to CT2: Control data that sets the display contrast This control data is used to set the display contrast. CT to CT2: Display contrast setting (7 steps) CT CT CT2 LCD drive 3/3 bias voltage power supply (V LCD ) level. V LCD = V LCD (.5 V LCD ).95 V LCD = V LCD (.5 V LCD ).9 V LCD = V LCD (.5 V LCD 2).85 V LCD = V LCD (.5 V LCD 3).8 V LCD = V LCD (.5 V LCD 4).75 V LCD = V LCD (.5 V LCD 5).7 V LCD = V LCD (.5 V LCD 6) Note that although the display contrast can be adjusted by operating the built-in display contrast adjustment circuit, it is also possible to adjust the contrast by varying the voltage level on the LCD drive block power supply V LCD pin. However, V LCD must always be greater than or equal to 2.7 V. Page 2

3. DR: /2 bias drive or /3 bias drive switching control data This control data bit selects either /2 bias drive or /3 bias drive. DR Bias drive scheme /3 bias drive /2 bias drive 4. DT: /3 duty drive or /4 duty drive switching control data This control data bit selects either /3 duty drive or /4 duty drive. DT Duty drive scheme Output pin state (COM4/S6) /4 duty drive COM4 /3 duty drive S6 Note: COM4: Common output S6: Segment output 5. FC: Common and segment output waveforms frame frequency setting control data This control data bit sets the frame frequency for common and segment output waveforms. FC Frame frequency f [Hz] fosc 384 fosc 92 6. SC: Segments on/off control data This control data bit controls the on/off state of the segments. SC Display state On Off However, note that when the segments are turned off by setting SC to, the segments are turned off by outputting segment off waveforms from the segment output pins. 7. BU: Normal mode/power-saving mode control data This control data bit selects either normal mode or power-saving mode. BU Normal mode Mode Power saving mode (The OSC pin oscillator is stopped, and the common and segment output pins go to the V SS level. However, the S/P to S8/P8 output pins that are set to be general-purpose output ports by the control data P to P3 can be used as general-purpose output ports.) Page 3

Display Data to Segment Output Pin Correspondence. /3 duty Segment Output pin COM COM2 COM3 S/P D D2 D3 S2/P2 D4 D5 D6 S3/P3 D7 D8 D9 S4/P4 D D D2 S5/P5 D3 D4 D5 S6/P6 D6 D7 D8 S7/P7 D9 D2 D2 S8/P8 D22 D23 D24 S9 D25 D26 D27 S D28 D29 D3 S D3 D32 D33 S2 D34 D35 D36 S3 D37 D38 D39 S4 D4 D4 D42 S5 D43 D44 D45 S6 D46 D47 D48 S7 D49 D5 D5 S8 D52 D53 D54 S9 D55 D56 D57 S2 D58 D59 D6 S2 D6 D62 D63 S22 D64 D65 D66 S23 D67 D68 D69 S24 D7 D7 D72 S25 D73 D74 D75 S26 D76 D77 D78 S27 D79 D8 D8 S28 D82 D83 D84 S29 D85 D86 D87 S3 D88 D89 D9 S3 D9 D92 D93 S32 D94 D95 D96 S33 D97 D98 D99 S34 D D D2 S35 D3 D4 D5 S36 D6 D7 D8 Segment Output pin COM COM2 COM3 S37 D9 D D S38 D2 D3 D4 S39 D5 D6 D7 S4 D8 D9 D2 S4 D2 D22 D23 S42 D24 D25 D26 S43 D27 D28 D29 S44 D3 D3 D32 S45 D33 D34 D35 S46 D36 D37 D38 S47 D39 D4 D4 S48 D42 D43 D44 S49 D45 D46 D47 S5 D48 D49 D5 S5 D5 D52 D53 S52 D54 D55 D56 S53 D57 D58 D59 S54 D6 D6 D62 S55 D63 D64 D65 S56 D66 D67 D68 S57 D69 D7 D7 S58 D72 D73 D74 S59 D75 D76 D77 S6 D78 D79 D8 S6 D8 D82 D83 S62 D84 D85 D86 S63 D87 D88 D89 S64 D9 D9 D92 S65 D93 D94 D95 S66 D96 D97 D98 S67 D99 D2 D2 S68 D22 D23 D24 S69 D25 D26 D27 S7 D28 D29 D2 S7 D2 D22 D23 S72 D24 D25 D26 Note: This applies to the case where the S/P to S8/P8, and COM4/S6 output pins are set to be segment output ports. Segment Output pin COM COM2 COM3 S73 D27 D28 D29 S74 D22 D22 D222 S75 D223 D224 D225 S76 D226 D227 D228 S77 D229 D23 D23 S78 D232 D233 D234 S79 D235 D236 D237 S8 D238 D239 D24 S8 D24 D242 D243 S82 D244 D245 D246 S83 D247 D248 D249 S84 D25 D25 D252 S85 D253 D254 D255 S86 D256 D257 D258 S87 D259 D26 D26 S88 D262 D263 D264 S89 D265 D266 D267 S9 D268 D269 D27 S9 D27 D272 D273 S92 D274 D275 D276 S93 D277 D278 D279 S94 D28 D28 D282 S95 D283 D284 D285 S96 D286 D287 D288 S97 D289 D29 D29 S98 D292 D293 D294 S99 D295 D296 D297 S D298 D299 D3 S D3 D32 D33 S2 D34 D35 D36 S3 D37 D38 D39 S4 D3 D3 D32 S5 D33 D34 D35 COM4/S6 D36 D37 D38 For example, the table below lists the segment output states for the S output pin. Segment output pin (S) state D3 D32 D33 The LCD segments corresponding to COM, COM2, and COM3 are off. The LCD segment corresponding to COM3 is on. The LCD segment corresponding to COM2 is on. The LCD segments corresponding to COM2 and COM3 are on. The LCD segment corresponding to COM is on. The LCD segments corresponding to COM and COM3 are on. The LCD segments corresponding to COM and COM2 are on. The LCD segments corresponding to COM, COM2, and COM3 are on. Page 4

2. /4 duty Segment Output pin COM COM2 COM3 COM4 S/P D D2 D3 D4 S2/P2 D5 D6 D7 D8 S3/P3 D9 D D D2 S4/P4 D3 D4 D5 D6 S5/P5 D7 D8 D9 D2 S6/P6 D2 D22 D23 D24 S7/P7 D25 D26 D27 D28 S8/P8 D29 D3 D3 D32 S9 D33 D34 D35 D36 S D37 D38 D39 D4 S D4 D42 D43 D44 S2 D45 D46 D47 D48 S3 D49 D5 D5 D52 S4 D53 D54 D55 D56 S5 D57 D58 D59 D6 S6 D6 D62 D63 D64 S7 D65 D66 D67 D68 S8 D69 D7 D7 D72 S9 D73 D74 D75 D76 S2 D77 D78 D79 D8 S2 D8 D82 D83 D84 S22 D85 D86 D87 D88 S23 D89 D9 D9 D92 S24 D93 D94 D95 D96 S25 D97 D98 D99 D S26 D D2 D3 D4 S27 D5 D6 D7 D8 S28 D9 D D D2 S29 D3 D4 D5 D6 S3 D7 D8 D9 D2 S3 D2 D22 D23 D24 S32 D25 D26 D27 D28 S33 D29 D3 D3 D32 S34 D33 D34 D35 D36 S35 D37 D38 D39 D4 S36 D4 D42 D43 D44 S37 D45 D46 D47 D48 S38 D49 D5 D5 D52 S39 D53 D54 D55 D56 S4 D57 D58 D59 D6 S4 D6 D62 D63 D64 S42 D65 D66 D67 D68 S43 D69 D7 D7 D72 S44 D73 D74 D75 D76 S45 D77 D78 D79 D8 S46 D8 D82 D83 D84 S47 D85 D86 D87 D88 S48 D89 D9 D9 D92 S49 D93 D94 D95 D96 S5 D97 D98 D99 D2 S5 D2 D22 D23 D24 S52 D25 D26 D27 D28 S53 D29 D2 D2 D22 Segment Output pin COM COM2 COM3 COM4 S54 D23 D24 D25 D26 S55 D27 D28 D29 D22 S56 D22 D222 D223 D224 S57 D225 D226 D227 D228 S58 D229 D23 D23 D232 S59 D233 D234 D235 D236 S6 D237 D238 D239 D24 S6 D24 D242 D243 D244 S62 D245 D246 D247 D248 S63 D249 D25 D25 D252 S64 D253 D254 D255 D256 S65 D257 D258 D259 D26 S66 D26 D262 D263 D264 S67 D265 D266 S267 D268 S68 D269 D27 D27 D272 S69 D273 D274 D275 D276 S7 D277 D278 D279 D28 S7 D28 D282 D283 D284 S72 D285 D286 D287 D288 S73 D289 D29 D29 D292 S74 D293 D294 D295 D296 S75 D297 D298 D299 D3 S76 D3 D32 D33 D34 S77 D35 D36 D37 D38 S78 D39 D3 D3 D32 S79 D33 D34 D35 D36 S8 D37 D38 D39 D32 S8 D32 D322 D323 D324 S82 D325 D326 D327 D328 S83 D329 D33 D33 D332 S84 D333 D334 D335 D336 S85 D337 D338 D339 D34 S86 D34 D342 D343 D344 S87 D345 D346 D347 D348 S88 D349 D35 D35 D352 S89 D353 D354 D355 D356 S9 D357 D358 D359 D36 S9 D36 D362 D363 D364 S92 D365 D366 D367 D368 S93 D369 D37 D37 D372 S94 D373 D374 D375 D376 S95 D377 D378 D379 D38 S96 D38 D382 D383 D384 S97 D385 D386 D387 D388 S98 D389 D39 D39 D392 S99 D393 D394 D395 D396 S D397 D398 D399 D4 S D4 D42 D43 D44 S2 D45 D46 D47 D48 S3 D49 D4 D4 D42 S4 D43 D44 D45 D46 S5 D47 D48 D49 D42 Note: This applies to the case where the S/P to S8/P8 output pins are set to be segment output ports. Page 5

For example, the table below lists the segment output states for the S output pin. Segment output pin (S) state D4 D42 D43 D44 The LCD segments corresponding to COM, COM2, COM3, and COM4 are off. The LCD segment corresponding to COM4 is on. The LCD segment corresponding to COM3 is on. The LCD segments corresponding to COM3 and COM4 are on. The LCD segment corresponding to COM2 is on. The LCD segments corresponding to COM2 and COM4 are on. The LCD segments corresponding to COM2 and COM3 are on. The LCD segments corresponding to COM2, COM3, and COM4 are on. The LCD segment corresponding to COM is on. The LCD segments corresponding to COM and COM4 are on. The LCD segments corresponding to COM and COM3 are on. The LCD segments corresponding to COM, COM3, and COM4 are on. The LCD segments corresponding to COM and COM2 are on. The LCD segments corresponding to COM, COM2, and COM4 are on. The LCD segments corresponding to COM, COM2, and COM3 are on. The LCD segments corresponding to COM, COM2, COM3, and COM4 are on. Page 6

/3 Duty, /2 Bias Drive Technique fo[hz] COM COM2 COM3 LCD driver output when all LCD segments corresponding to COM, COM2, and COM3 are turned off. LCD driver output when only LCD segments corresponding to COM are on. LCD driver output when only LCD segments corresponding to COM2 are on. corresponding to COM and COM2 are on. LCD driver output when only LCD segments corresponding to COM3 are on. corresponding to COM and COM3 are on. corresponding to COM2 and COM3 are on. LCD driver output when all LCD segments corresponding to COM, COM2, and COM3 are on. VLCD, V VLCD, V VLCD, V VLCD, V VLCD, V VLCD, V VLCD, V VLCD, V VLCD, V VLCD, V VLCD, V When the control data FC = : f = When the control data FC = : f = fosc 384 fosc 92 Page 7

/3 Duty, /3 Bias Drive Technique fo[hz] COM COM2 COM3 LCD driver output when all LCD segments corresponding to COM, COM2, and COM3 are turned off. LCD driver output when only LCD segments corresponding to COM are on. LCD driver output when only LCD segments corresponding to COM2 are on. corresponding to COM and COM2 are on. LCD driver output when only LCD segments corresponding to COM3 are on. corresponding to COM and COM3 are on. corresponding to COM2 and COM3 are on. LCD driver output when all LCD segments corresponding to COM, COM2, and COM3 are on. VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V When the control data FC = : f = When the control data FC = : f = fosc 384 fosc 92 Page 8

/4 Duty, /2 Bias Drive Technique fo[hz] COM VLCD, V COM2 VLCD, V COM3 VLCD, V COM4 VLCD, V LCD driver output when all LCD segments corresponding to COM, COM2, COM3, and COM4 are turned off. VLCD, V LCD driver output when only LCD segments corresponding to COM are on. VLCD, V LCD driver output when only LCD segments corresponding to COM2 are on. VLCD, V corresponding to COM and COM2 are on. VLCD, V LCD driver output when only LCD segments corresponding to COM3 are on. VLCD, V corresponding to COM and COM3 are on. VLCD, V corresponding to COM2 and COM3 are on. VLCD, V corresponding to COM, COM2, and COM3 are on. VLCD, V LCD driver output when only LCD segments corresponding to COM4 are on. VLCD, V corresponding to COM2 and COM4 are on. VLCD, V LCD driver output when all LCD segments corresponding to COM, COM2, COM3, and COM4 are on. When the control data FC = : f = When the control data FC = : f = fosc 384 fosc 92 VLCD, V Page 9

/4 Duty, /3 Bias Drive Technique fo[hz] COM COM2 COM3 COM4 LCD driver output when all LCD segments corresponding to COM, COM2, COM3, and COM4 are turned off. LCD driver output when only LCD segments corresponding to COM are on. LCD driver output when only LCD segments corresponding to COM2 are on. corresponding to COM and COM2 are on. LCD driver output when only LCD segments corresponding to COM3 are on. corresponding to COM and COM3 are on. corresponding to COM2 and COM3 are on. corresponding to COM, COM2, and COM3 are on. LCD driver output when only LCD segments corresponding to COM4 are on. corresponding to COM2 and COM4 are on. LCD driver output when all LCD segments corresponding to COM, COM2, COM3, and COM4 are on. When the control data FC = : f = When the control data FC = : f = fosc 384 fosc 92 VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V VLCD V Page 2

The INH pin and Display Control Since the IC internal data (/3 duty: the display data D to D38 and the control data, /4 duty: the display data D to D42 and the control data) is undefined when power is first applied, applications should set the INH pin low at the same time as power is applied to turn off the display (This sets the S/P to S8/P8, S9 to S5, COM to COM3, and COM4/S6 to the V SS level.) and during this period send serial data from the controller. The controller should then set the INH pin high after the data transfer has completed. This procedure prevents meaningless displays at power on. (See Figures 3 and 4.) Notes on the Power On/Off Sequences Applications should observe the following sequences when turning the LC75847T power on and off. At power on: Logic block power supply (V DD ) on LCD driver block power supply (V LCD ) on At power off: LCD driver block power supply (V LCD ) off Logic block power supply (V DD ) off However, if the logic and LCD driver block use a shared power supply, then the power supplies can be turned on and off at the same time. /3 duty VDD t t2 t3 VLCD INH VIL tc D to D8, P to P3, CT to CT2, Internal data DR, DT, FC, SC, BU Undefined Display and control data transfer VIL Defined Undefined Internal data (D9 to D23) Undefined Defined Undefined Internal data (D24 to D38) Undefined Defined Undefined Notes: t t2 > t3 (t2 > t3) tc...µs min. Figure 3 Page 2

/4 duty VDD t t2 t3 VLCD INH VIL tc VIL Internal data D to D8 P to P3, CT to CT2, DR, DT, FC, SC, BU Undefined Display and control data transfer Defined Undefined Internal data (D9 to D22) Undefined Defined Undefined Internal data (D23 to D36) Undefined Defined Undefined Internal data (D37 to D42) Undefined Defined Undefined Notes: t t2 > t3 (t2 > t3) tc...µs min. Figure 4 Notes on Controller Transfer of Display Data Since the LC75847T accepts the display data (D to D38) divided into three separate transfer operations when using /3 duty drive scheme and the data (D to D42) divided into four separate transfer operations when /4 duty drive, we recommend that applications transfer all of the display data within a period of less than 3 ms to prevent observable degradation of display quality. Page 22

Sample Application Circuit /3 Duty, /2 Bias (for use with normal panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V C.47µF Open C VLCD VLCD INH P/S P2/S2 P8/S8 S9 LCD panel (up to 38 segments) From the controller S4 S5 COM4/S6 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Sample Application Circuit 2 /3 Duty, /2 Bias (for use with large panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V kω R 2.2 kω C.47 µf C R R VLCD VLCD INH P/S P2/S2 P8/S8 S9 LCD panel (up to 38 segments) From the controller S4 S5 COM4/S6 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Page 23

Sample Application Circuit 3 /3 Duty, /3 Bias (for use with nornal panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V C.47 µf C Open C VLCD VLCD INH P/S P2/S2 P8/S8 S9 LCD panel (up to 38 segments) From the controller S4 S5 COM4/S6 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Sample Application Circuit 4 /3 Duty, /3 Bias (for use with large panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V kω R 2.2 kω C.47 µf C C R R R VLCD VLCD INH P/S P2/S2 P8/S8 S9 LCD panel (up to 38 segments) From the controller S4 S5 COM4/S6 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Page 24

Sample Application Circuit 5 /4 Duty, /2 Bias (for use with normal panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V C.47 µf Open C VLCD VLCD INH S6/COM4 P/S P2/S2 P8/S8 S9 LCD panel (up to 42 segments) From the controller S4 S5 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Sample Application Circuit 6 /4 Duty, /2 Bias (for use with large panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V kω R 2.2 kω C.47 µf C R R VLCD VLCD INH S6/COM4 P/S P2/S2 P8/S8 S9 LCD panel (up to 42 segments) From the controller S4 S5 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Page 25

Sample Application Circuit 7 /4 Duty, /3 Bias (for use with nornal panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V C.47µF C Open C VLCD VLCD INH S6/COM4 P/S P2/S2 P8/S8 S9 LCD panel (up to 42 segments) From the controller S4 S5 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Sample Application Circuit 8 /4 Duty, /3 Bias (for use with large panels) *2 (P) (P2) (P8) General-purpose output ports Used for functions such as backlight control +3. V VDD OSC COM COM2 VSS COM3 +5.8 V kω R 2.2 kω C.47 µf C C R R R VLCD VLCD INH S6/COM4 P/S P2/S2 P8/S8 S9 LCD panel (up to 42 segments) From the controller S4 S5 Note: *2 When a capacitor except the recommended external capacitance (Cosc = pf) is connected the OSC pin, we recommend that applications connect the OSC pin with a capacitor in the range 22 to 22 pf. Page 26

Package Dimensions unit : mm TQFP2 4x4 / TQFP2 CASE 932AZ ISSUE A 6..2 4.. 2 4.. 6..2.5.2 (.2) 2.4.5..25.2 MAX (.)... to SOLDERING FOOTPRINT* 5.4 GENERIC MARKING AGRAM* (Unit: mm) XXXXXXXX YMDDD 5.4 XXXXX = Specific Device Code Y = Year M = Month DDD = Additional Traceability Data *This information is generic. Please refer to device data sheet for actual part marking..4.23. NOTE: The measurements are not to guarantee but for reference only. *For additional information on our Pb-Free strategy and soldering details, please download the ON Semiconductor Soldering and Mounting Techniques Reference Manual, SOLDERRM/D. www.onsemi.com 27

ORDERING INFORMATION LC75847T-E LC75847TS-E LC75847T Device Package Shipping (Qty / Packing) TQFP2 4x4 / TQFP2 (Pb-Free) TQFP2 4x4 / TQFP2 (Pb-Free) 45 / Tray JEDEC 45 / Tray JEDEC ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC dba ON Semiconductor or its subsidiaries in the United States and/or other countries. ON Semiconductor owns the rights to a number of patents, trademarks, copyrights, trade secrets, and other intellectual property. A listing of ON Semiconductor s product/patent coverage may be accessed at www.onsemi.com/site/pdf/patent-marking.pdf. ON Semiconductor reserves the right to make changes without further notice to any products herein. ON Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does ON Semiconductor assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation special, consequential or incidental damages. Buyer is responsible for its products and applications using ON Semiconductor products, including compliance with all laws, regulations and safety requirements or standards, regardless of any support or applications information provided by ON Semiconductor. Typical parameters which may be provided in ON Semiconductor data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including Typicals must be validated for each customer application by customer s technical experts. ON Semiconductor does not convey any license under its patent rights nor the rights of others. ON Semiconductor products are not designed, intended, or authorized for use as a critical component in life support systems or any FDA Class 3 medical devices or medical devices with a same or similar classification in a foreign jurisdiction or any devices intended for implantation in the human body. Should Buyer purchase or use ON Semiconductor products for any such unintended or unauthorized application, Buyer shall indemnify and hold ON Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that ON Semiconductor was negligent regarding the design or manufacture of the part. ON Semiconductor is an Equal Opportunity/Affirmative Action Employer. This literature is subject to all applicable copyright laws and is not for resale in any manner. www.onsemi.com 28