IFX Day Campeon 07 June Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director

Similar documents
Technology & Manufacturing

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Thinking globally, acting locally: The challenge for the semiconductor industry in Europe

Yole Developpement. Developpement-v2585/ Publisher Sample

TRENCHSTOP 5 boosts efficiency in Home Appliance, Solar and Welding Applications

It s Time for 300mm Prime

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

2010 IRI Annual Meeting R&D in Transition

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Power Semiconductors technologies trends for E-Mobility

A European Perspective for Electronic Industry in Latin America

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Fraunhofer IZM - ASSID

Wide Band-Gap (SiC and GaN) Devices Characteristics and Applications. Richard McMahon University of Cambridge

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Power Integration in Circuit Board

The Future of Packaging ~ Advanced System Integration

CHAPTER 1 INTRODUCTION. Infineon consists of two main companies which are:

Power Matters Microsemi SiC Products

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

"Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers"

Beyond Moore the challenge for Europe

Improving Totem-Pole PFC and On Board Charger performance with next generation components

Analyst Day Real change starts here. Doug Pferdehirt, Chief Executive Officer

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

EUV Supporting Moore s Law

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

The future of lithography and its impact on design

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES

Pitch Pack Microsemi full SiC Power Modules

Applications and opportunities of AM in Diebond equipment

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd.

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Driving 2W LEDs with ILD4120

CREE POWER PRODUCTS 2012 REVOLUTIONIZING POWER ELECTRONICS WITH SILICON CARBIDE

Infineon at a glance

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

N e w s R e l e a s e

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Efficiency improvement with silicon carbide based power modules

Silicon Carbide Semiconductor Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Market and technology trends in advanced packaging

A new compact power modules range for efficient solar inverters

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES

New SiC Thin-Wafer Technology Paving the Way of Schottky Diodes with Improved Performance and Reliability

Fan-Out Wafer Level Packaging Patent Landscape Analysis

GS61008P Bottom-side cooled 100 V E-mode GaN transistor Preliminary Datasheet

J.S. Whang Executive Chairman. Fokko Pentinga President & CEO. Solar Semiconductor LED

HIGH TEMPERATURE (250 C) SIC POWER MODULE FOR MILITARY HYBRID ELECTRICAL VEHICLE APPLICATIONS

High voltage CoolMOS CE in SOT-223 package

RC-D Fast : RC-Drives IGBT optimized for high switching frequency

Siltronic a leading producer of silicon wafers. Fact Book Investor Relations May 2018

The SEMATECH Model: Potential Applications to PV

The Thermal Integrity of Integrated GaN Power Modules

Course Outcome of M.Tech (VLSI Design)

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

AN3401 Application Note

A 42V Inverter/Rectifier for ISA using Discrete Semiconductor Components

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

FMC Technologies Overview Fourth Quarter Director, Investor Relations Matt Seinsheimer

Static Power and the Importance of Realistic Junction Temperature Analysis

Thermal Management in the 3D-SiP World of the Future

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

A new era in power electronics with Infineon s CoolGaN

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

Advanced Embedded Packaging for Power Devices

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

GS61004B 100V enhancement mode GaN transistor Preliminary Datasheet

BCR450. Driving mid & high power LEDs from 65mA to 700mA with LED controller IC BCR450 with thermal protection

MAPPER: High throughput Maskless Lithography

For personal use only

Some Key Researches on SiC Device Technologies and their Predicted Advantages

Pareto s Annual Oil & Offshore Conference

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

SLLIMM - nano Series

21 st Annual Needham Growth Conference

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Thermal behavior of the new high-current PROFET

research in the fields of nanoelectronics

AN3134 Application note

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Update: SOI Wafer Market Continues Its Growth

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

SiP packaging technology of intelligent sensor module. Tony li

All-SiC Modules Equipped with SiC Trench Gate MOSFETs

N-Channel 60 V (D-S) MOSFET

N-Channel 60-V (D-S) MOSFET

End-of-line Standard Substrates For the Characterization of organic

SiC Cascodes and its advantages in power electronic applications

Reliability of the OSLON Product Family Application Note

Recent Trends in Semiconductor IC Device Manufacturing

Transcription:

IFX Day 2011 Campeon Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director

Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and New Materials Extending Technology Leadership Through Innovations Our Innovations The Benefit for Our Customers Summary Page 2

Low High In-house Manufacturing of Power Semis: A Strong Differentiating Factor Power semiconductors Standard CMOS Application and system knowhow Backend Design Flow IP-Blocks Software 100%; relative contribution to product differentiation Frontend Power Discretes IGBT Modules Mixed-signal ICs embedded Flash; standard CMOS Differentiation by technology and manufacturing Differentiation by functionality Invest in own technologies and production. Use of standard CMOS technologies and manufacturing outsourcing. Page 3

Foundry partners Frontend Outsourcing to Rise with Ramp of 90nm and 65nm 10% Frontend outsourcing share 5% 0% Target: ~10% Q1 FY10 Q1 FY11 longer term Status: In-house manufacturing capability nodes down to 90nm. For nodes 90nm foundries are mainly used to optimize capex and for flexibility. Outlook: Status and outlook Share at TSMC will increase in the next three years driven by the ramp of eflash technologies in 90nm and 65nm. eflash will be the main driver for foundry growth. 0.5µm 350 220nm 180nm 130 90nm 65nm 40nm Altis ASMC IBM TSMC ( incl. eflash) to be defined Power CMOS UMC Page 4

Subcontractors Power Subcontractors CMOS Backend Outsourcing Mostly for High-Pin- Count Packages; Power Selective Approach Backend outsourcing share 20% 10% 0% Target: ~20% Q1 FY10 Q1 FY11 longer term Status: Outsourcing primarily on low-power devices and complex CMOS. Main outsourced test platform is Teradyne J750. Outlook: Status and outlook Assembly and test outsourcing will continue to expand with Infineon's growth in the areas of power and CMOS. ASE Cirtek Nantong PSI Unisem Assembly Test Assembly Leadframe Laminate Amkor ASE Ardentec KYEC Stats UTAC Test Page 5

Cost or Performance? Infineon Can Address Both Optimized for cost Cost-vs-performance range addressed by Infineon Optimized for performance Cost optimized Cost/performance High-performance Low-cost markets addressed by manufacturing footprint in Asia, economies of scale. Our target: hitting the sweet spot, i.e. markets with highest volume at highest margins. Leading-edge products. Value for the customer. Focus on quality and reliability. Less price-sensitive markets. Infineon addresses almost the entire cost-vs-performance range! Page 6

Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and New Materials Extending Technology Leadership Through Innovations Our Innovations The Benefit for Our Customers Summary Page 7

Capacity Additions and 300mm-Project Drives Investments Breakdown of total investments in FY11 of EUR 850m by category Capacity, e.g. Kulim ramp Innovation, e.g. thin wafer technology Quality, e.g. defect density Maintenance / facility, e.g. cleanroom 300mm pilot line Villach by production cluster Qimonda 300mm Dresden Frontend Backend Intangible assets, capitalized R&D Page 8

Use of Opportunities: Purchase of the Qimonda 300mm Facilities for EUR ~100m Infineon's Dresden site Qimonda 300mm Infineon 200mm Qimonda administration; Center for Nano Technology Purchase includes: real estate and buildings; cleanroom and 300mm manufacturing equipment; infrastructure. Use of purchased tools: completion of 300mm pilot line in Villach; use in Infineon's 200mm locations, temporarily converted to 200mm; sale of non-used tools. High-volume production not before FY13; site to be decided within FY11. Page 9

Migration to 300mm Leads to Competitive Cost Advantage and Reduced CapEx Manufacturing cost of 200mm vs 300mm power semiconductors [related to physical wafers] 200mm 300mm Wafer size 100% 225% Equipment 100% ~160% Raw wafer cost 100% ~300% Personnel 100% ~80% Other effects 100% ~150% Relative Cost per mm² 100% 70 80% Even with higher costs for raw materials and tools, we expect a cost advantage of 20 30%. Page 10

Invest for 300mm power volume production [EUR] Savings from Qimonda deal Significant Savings in Investments Due to Synergies With Existing Sites Investments in 300mm power Characteristics of a power fab Continuous capacity ramp based on market demand: invest and ramp will be streched over about 7 years; no volume push like in DRAM. Trailing-edge equipment; partly used tools. Differentiation by special processes, e.g. thick copper, thin wafer, deep trench transistors. Long-lasting technology cycles in automotive and industrial markets. year 1 year 2 year 3 year 4 year 5 year 6 year 7 Shrink of power devices is enabled by 3D device construction, not by fine-pitch lithography. Page 11

Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and New Materials Extending Technology Leadership Through Innovations Our Innovations The Benefit for Our Customers Summary Page 12

power density [kw/cm²] Infineon s Law" of IGBTs: Power Density Doubles Every ~10 Years Development of power density for IGBTs * junction temperature 300 125 C 150 C 175 C 200 C IGBT 7 250 200 100 0 IGBT 1 30 IGBT 2 50 IGBT 3 70 2001: SiC Matrix Converter IGBT 4 1990 1995 2000 2005 2010 2015 2020 85 IGBT 5 110 IGBT 6 170 SiC * Chart made for a device with 1200V breakdown voltage. year of market introduction Page 13

Thyristor Power Semis: Power versus Frequency Infineon Covers the Full Range power by application [W] 1 G 100 M 10 M 1 M 100 k 10 k 1 k IGBT MOSFET SiC Module SiC Ultra high power GaN High power Medium power 100 10 100 1 k 10 k 100 k 1 M 10 M 100 M frequency [Hz] HVDC High-currentsupplies Large drives Ships Locomotives Large solar plants Trams, busses Electric cars On-roof PV Small drives Airconditioner Robotics Washing machine Switch mode power supplies Page 15

Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and New Materials Extending Technology Leadership Through Innovations Our Innovations The Benefit for Our Customers Summary Page 16

wafer thickness [µm] Thin Wafer Technology is a Key Enabler Across All Power Technologies Development of wafer thickness and wafer diameter wafer diameter 300 100mm 125mm 150mm 200mm 300mm 200 100 0 1990 1995 2000 2005 2010 2015 2020 IGBT 400V IGBT 600V IGBT 1200V Page 17

BLADE Package: Manufacturing Competence in Power Multi-Die Packaging Technology Manufacturing competencies Conventional: New: 'Chip-Embedding' Use of existing Infineon competencies Thin wafer Galvanic electroplating Lithography Die-Attach by diffusion-soldering Competencies for 'Chip-Embedding' Take key elements (e.g. lamination, laser drilling) of printed circuit board industry Advantages of BLADE The connecting wire becomes the limiting factor. Breaking this limit by new assembly technology 'Chip-Embedding'. Excellent electrical and thermal performance of interconnects enabling further chipshrink. Full flexible redistribution for multi-chip-systems providing higher integration level. Only one production line for various products ( flexibility and efficiency). Parallel processing of products in big panel format ( productivity). BLADE based on 'Chip-Embedding': ~20% less dissipation losses at high current load 30% smaller package size. Page 18

Infineon s Competence in Frontend and Backend for "Chip-Embedding" Manufacturing steps 1 copper chip 1 Diffusion-soldering on copper leadframe 2 laminate 2 Foil lamination on thin chips (60 µm) 3 3 Laser drilling of vias to chip and leadframe 4 4 Via filling by electroplating of copper 5 5 Patterning of footprint by litho/etch process Page 19

Layout New Product Ideas and Opportunities Based on BLADE Package Technology added value Products and applications Multi functional integration e.g. mechatroncis automotive bus system interface CAD-Model LED-driver and LEDs for car ambient light Solid state relay Make different products Multi-chip standardized or applicationspecific products CAD- Model Integrated DC-DC converter Full bridge for DC motor drive Single-chip standardized or applicationspecific products Low-voltage MOSFET for application in DC-DC converter Make products differently Page 20

Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and New Materials Extending Technology Leadership Through Innovations Our Innovations The Benefit for Our Customers Summary Page 21

Example 1: Photovoltaic Systems Infineon Customer End customer CoolMOS SiC diode, SiC JFET Courtesy: SMA Solar Features Value for customer Value for end customer high-voltage MOSFET SiC diode, SiC JFET low R DS(on) high switching frequency very high efficiency (~99%) less cooling effort higher power density; less weight lower bill-of-material higher sunlight-toelectricity conversion efficiency higher feed into the power grid higher return on investment Page 22

Example 2: Hybrid Car Infineon Customer End customer HybridPACK 2 Courtesy: Porsche Courtesy: Porsche Features Value for customer Value for end customer IGBT module 80kW 95% efficiency pin-fin package single cooling system lower bill-of-material more compact; less space more efficient motor management less cooling wider reach Page 23

Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and New Materials Extending Technology Leadership Through Innovations Our Innovations The Benefit for Our Customers Summary Page 24

Summary and Recap Manufacturing as competitive differentiator: special technologies and 300mm. We address almost the entire cost-performancerange: from low-cost to high performance. We have the manufacturing scale and financial power to invest now and benefit from the Qimonda deal. Technology and innovation as competitive differentiator. Courtesy: Porsche Customer benefit through efficient power semiconductors. Page 25

Worldwide Frontend Production Sites Regensburg Employees: 1,000 Temps: 237 Capacity: 48k WSPM Technology: >200nm Complexity: 16 mask layers Products: Power Dresden Employees: 1,767 Temps: 168 Capacity: 44k WSPM Technology: >90nm Complexity: 30 mask layers Products: CMOS Lantiq 1% CCS 1% ATV 71% Lantiq 1% IMC 3% ATV 40% IMM 9% IMM 27% CCS 47% Villach Employees: 1,323 Temps: 457 Capacity: 120k WSPM Technology: >200nm Complexity: 11 mask layers Products: Power Kulim Employees: 1068 Temps: 132 Capacity: 82k WSPM Technology: >200nm Complexity: 12 mask layers Products: Power, CMOS IMM 71% ATV 29% ATV 20% CCS 3% IMM 77% WSPM = Wafer Starts Per Month (200mm equivalent), typical technology Page 27

Worldwide Backend Production Sites Morgan Hill, USA Employees: 150 Competence center RF power Warstein, Germany Employees: 500 High power, R&D Cegléd, Hungary Employees: 460 High power Wuxi, China Regensburg, Germany Employees: 700 Sensors, chip card, power, wafer level, R&D Employees: 1,050 Chip card, discretes Malacca, Malaysia Singapore Batam, Indonesia Employees: 5,800 Power, discretes, sensors, logic, R&D Employees: 1,280 Tester pool, R&D Employees: 2,000 Power leadframe Page 28

Disclaimer This presentation was prepared as of June 7, 2011 and is current only as of that date. This presentation includes forward-looking statements and assumptions about the future of Infineon's business and the industry in which we operate. These include statements and assumptions relating to general economic conditions, future developments in the world semiconductor market, our ability to manage our costs and to achieve our growth targets, the resolution of Qimonda's insolvency proceedings and the liabilities we may face as a result of Qimonda's insolvency the benefits of research and development alliances and activities, our planned levels of future investment, the introduction of new technology at our facilities, our continuing ability to offer commercially viable products, and our expected or projected future results. These forward-looking statements are subject to a number of uncertainties, such as broader economic developments, including the sustainability of recent improvements in the market environment; trends in demand and prices for semiconductors generally and for our products in particular, as well as for the end-products, such as automobiles and consumer electronics, that incorporate our products; the success of our development efforts, both alone and with partners; the success of our efforts to introduce new production processes at our facilities; the actions of competitors; the continued availability of adequate funds; any mergers, acquisitions or dispositions we may undertake; the outcome of antitrust investigations and litigation matters; and the resolution of Qimonda's insolvency proceedings; as well as the other factors mentioned in this presentation and those disclosed at other occasions. As a result, Infineon's actual results could differ materially from those contained in or suggested by these forward-looking statements. You are cautioned not to place undue reliance on these forward-looking statements. Infineon does not undertake any obligation to publicly update or revise any forward-looking statements in light of developments which differ from those anticipated. Page 29