Dr. K.B.Khanchandani Professor, Dept. of E&TC, SSGMCE, Shegaon, India.

Similar documents
Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

/$ IEEE

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

DESIGN OF A MODULAR FEEDFORWARD PHASE/FREQUENCY DETECTOR FOR HIGH SPEED PLL

FRACTIONAL-N FREQUENCY SYNTHESIZER DESIGN FOR RFAPPLICATIONS

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Designing of Charge Pump for Fast-Locking and Low-Power PLL

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

CMOS Current Starved Voltage Controlled Oscillator Circuit for a Fast Locking PLL

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

IN RECENT years, the phase-locked loop (PLL) has been a

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

A Low Power Single Phase Clock Distribution Multiband Network

Dedication. To Mum and Dad

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

A LOW POWER PHASE FREQUENCY DETECTOR FOR DELAY-LOCKED LOOP

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler

DESIGN OF A CURRENT STARVED RING OSCILLATOR FOR PHASE LOCKED LOOP (PLL)

Design of a Frequency Synthesizer for WiMAX Applications

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

Low Power Phase Locked Loop Design with Minimum Jitter

PHASE-LOCKED loops (PLLs) are widely used in many

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

Simple odd number frequency divider with 50% duty cycle

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

REDUCING power consumption and enhancing energy

ISSN:

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

THE serial advanced technology attachment (SATA) is becoming

A Wide Range PLL Using Self-Healing Prescaler/VCO in CMOS

Low Power, Wide Bandwidth Phase Locked Loop Design

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Design of a CMOS PFD-CP module for a PLL

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

Introduction to CMOS RF Integrated Circuits Design

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

A Frequency Synthesis of All Digital Phase Locked Loop

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

Available online at ScienceDirect. Procedia Computer Science 57 (2015 )

A 1.2-to-1.4 GHz low-jitter frequency synthesizer for GPS application

ISSN:

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

THE reference spur for a phase-locked loop (PLL) is generated

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

Lecture 7: Components of Phase Locked Loop (PLL)

0.18µm PHASE / FREQUENCY DETECTOR AND CHARGE PUMP DESIGN FOR DIGITAL VIDEO BROADCASTING FOR HANDHELD S PHASE-LOCKED-LOOP SYSTEMS THESIS

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Research on Self-biased PLL Technique for High Speed SERDES Chips

Design of High Performance PLL using Process,Temperature Compensated VCO

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

DOUBLE DATA RATE (DDR) technology is one solution

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution

NEW WIRELESS applications are emerging where

Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator

Design and Analysis of a Wide Loop-Bandwidth RF Synthesizer Using Ring oscillator For DECT Receiver

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

DESIGNING PHASE FREQUENCY DETECTOR USING DIFFERENT DESIGN TECHNOLOGIES

Design of High Performance Phase Locked Loop for UHF Band in 180 nm CMOS Technology

Design of Low-Dropout Regulator

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Transcription:

Design and Implementation of High Performance, Low Dead Zone Phase Frequency Detector in CMOS PLL based Frequency Synthesizer for Wireless Applications Priti N. Metange Asst. Prof., Dept. of E&TC, MET s IOE,BKC, Nashik, India. priti.gawande@gmail.com Dr. K.B.Khanchandani Professor, Dept. of E&TC, SSGMCE, Shegaon, India. kbkhanchandani@rediffmail.com Anita Zurani Research Scholar, SGBAU, Amravati,India. anitazurani@gmail.com Abstract An area efficient, high performance, low dead zone phase frequency detector for high frequency phase-locked loop is presented in this paper. We have designed and developed the phase frequency detector circuit using 180nm process technology in CADENCE Virtuoso Analog Design Environment. The various performance parameters have been obtained through different types of simulation. While designing we have worked on mainly power supply, power dissipation, wide input frequency range, dead zone size and active layout area. The basic PFD consumes 33.5 µw at 500 MHz operating frequency whereas the proposed PFD with GDI cell consumes only 8 µw at 5GHz. The simulation results show that the circuit offered an alternative for any high speed and low power PLL applications. Keywords CADENCE, CP, Dead zone, GDI, low power, PLL, PFD, VCO. I. INTRODUCTION Phase-locked loops (PLLs) are mostly used in radio frequency synthesis. The PLL based frequency synthesizer is one of the main building blocks of an RF front-end transceiver. The PLL frequency synthesizer system is mainly designed to ensure the accuracy of its output frequency under operating conditions. The goal to meet strict phase noise, spurious-level performance and fine frequency resolution with Low Power CMOS Phase-Locked Loop Synthesizers reasonable levels of power consumption remains a challenging task for the circuit designer [1]. Fig. 1 depicts a PLL-based integer-n frequency synthesizer. It consists of a phase-frequency detector, a charge-pump, a loop filter, a voltage-controlled oscillator, and a programmable frequency divider. For an integer-n frequency synthesizer, the output frequency is a multiple of the reference frequency: f out = N. f ref (1) Fig 1: Integer-N PLL-Frequency Synthesizer where N, is an integer, the loop frequency divide ratio. The frequency resolution of the integer-n frequency synthesizer is equal to the reference frequency. For narrow-band applications, the reference of the synthesizer is very small and the frequency divide ratio is very large because of the limitation of frequency resolution equal to the reference frequency. The first component of the PLL is the Phase Frequency Detector which has been designed to improve the speed by minimizing the dead zone. This paper presents the design and implementation of high performance, low dead zone, phase frequency detector in CMOS PLL based frequency synthesizer for wireless applications. Section II presents the analysis and design of phase frequency detector. PFD with Gate Diffusion Input Cells is presented in Section III. Simulation Results are discussed in Section IV. Section V provides the circuit layout and the performance analysis of both PFD circuits. Finally, Section VI presents the discussion and conclusion of the proposed work. JMESTN42351668 5110

II. PHASE FREQUENCY DETECTOR A PLL is a feedback system that compares the output phase with the input phase. The comparison is performed by a phase comparator or a phase detector. A familiar example of phase detector (PD) is the exclusive OR (XOR) gate As the phase difference between the inputs varies, so does the width of the output pulses, thereby providing a dc level proportional to the phase difference (Δϕ). The XOR PD produces error pulses on both rising and falling edges. A simple D flip-flop (D-FF) could also be used as a phase detector. Here, the reference signal serves as a clock to sample the divided VCO signal. When reference leads the feedback divider signal, the output remains at logic 1 and if the reference lags the feedback divider signal, the output switches to logic 0. Therefore, the D-FF based PD operation is highly nonlinear and leads to the stability issue and phase error. This PD also fails to detect any frequency difference. A. Tri-State Phase Frequency Detector Analysis and Design The XOR and DFF based PDs fail to detect the frequency difference and are not suitable for PLL applications where initial VCO oscillation frequencies are far away from reference. A tri-state PFD detects both phase and frequency difference. Fig. 2 [2] shows the state diagram and the implementation of the PFD. Fig.2: Tri-state PFD a) State diagram Journal of Multidisciplinary Engineering Science and Technology (JMEST) 1 and DN signal remains at 0. The UP signal remains at 1 until the occurrence of rising edge of Div which triggers DFF1 and the UP signal is reset to 0 by the AND gate. A similar behaviour happens when Ref lags Div. The phase difference between Ref and Div is indicated by the difference between UP and DN signals. Fig. 3 shows the transfer characteristics of a tri-state PFD which is unsymmetrical over y-axis and the output has the same sign as that of the phase. Fig.3. Transfer characteristics of a Tri-state PFD difference. Therefore, the output would be in opposite polarities between positive and negative frequency difference. When Ref leads Div (Ref f > Div f), the resulting positive pulses appear at UP while DN stays at 0. When Ref lags Div (Ref f < Div f), the resulting positive pulses appear at DN while UP stays at 0. Thus, the average of UP-DN suggests the frequency difference [3]. B. Dead zone in tri-state PFD Moreover, tri-state PFD suffers from the dead zone problem. Dead zone is defined as the maximum difference in phase between the two inputs that cannot be detected by a PFD. The transfer function curve under the dead zone is given in Fig.4. When the phase difference between Ref and Div is close to zero, the width of the UP and DN pulses would approach to a minimal, which is set by the delay of the AND gate in the feedback path. However, the charge pump may not be to detect such narrow pulses, resulting to no current injecting to the LPF, which is almost similar to the case of zero phase difference. As a result, the PFD gain is down to zero and the PLL loop would not function and there would be an unpredictable phase error between the two inputs so the jitter at output of the PLL accumulates. The dead zone in the PFD is avoided by introducing delay after the AND gate in the feedback path to increase the propagation delay as shown in Fig. 4. Fig.2: Tri-state PFD b) Implementation Fig.4: Dead zone in tri-state PFD Let Ref be the reference signal, and Div the divider output signal. If Ref leads Div, the rising edge of Ref triggers DFF1 and the UP signal is switched from 0 to JMESTN42351668 5111

Fig.5: Dead zone free PFD The Tri-state PFD is designed using two D Flip flops with reset. The D Flip flop is made by pass transistor logic and a buffer to make it faster and compact and it will work at 1 GHz as shown in Fig. 5. The PFD is designed and tested up to 1.2GHz frequency as the input signal. The tri-state PFD is used because it is simple, has linear phase-detecting range of +/-2π radians, is duty-cycle insensitive and can act both as a phase detector and as a frequency detector [3]. The design consists of two D flip-flops and a AND gate in the reset path to reset both the flip flop. The schematic of the D flip-flops and the PFD are shown in fig. 6 and fig. 7 respectively. The undetectable small phase range called as dead zone influences the effective sensitivity of a PFD. To minimize the power consumption of the PFD, it is must to reduce the reset path delay so that dead zone can be minimized [4, 5]. In order to avoid dead-zone a useful equation for the minimum reset delay of the PFD is given by following equation: T reset =T th = (T r +T f ) /2 (2) III. Fig 7: Schematic of PFD PFD WITH GATE DIFFUSION INPUT CELLS The circuit diagram of proposed Gate Diffusion Input Cells (GDI) is as shown in below fig. 8. It works similar to conventional PFDs but it has many advantages compared to conventional PFDs. This PFDs is basically constructed with two GDI cells. A basic GDI cell contains four terminals G (common gate input of nmos and pmos transistors), P (the outer diffusion node of pmos transistor), N (the outer diffusion node of nmos transistor), and D (common diffusion node of both transistors).this technique allows reducing power consumption, propagation delay and area of digital circuits. The GDI method is based on the simple cell shown in fig 9. Table1 shows how different logic functions can be implemented with GDI cell. where T th is the charge pump switching time and can be approximated by the average of the rise time T. The delay time of logic components and reset time of feedback path of flip-flop causes a PFD to detect phase and frequency with distortion [6]. Fig.8: Basic GDI Cell Fig.6: Schematic of D - flip flop Table I: Logic Functions implemented with GDI cell N P G D Functio n 0 B A AB F1 B 1' A A+B F2 1 B A A+B OR B 0 C B A 0 1 A AB AND AB+ A C MUX A A NOT JMESTN42351668 5112

when Fclk is equal to Fvco both the outputs that is Up and Down are zero, if Fclk is high compared to Fvco then up signal is high else down signal is high indicating the phase error between Fclk and Fvco. The conditions of inputs and outputs are depicted in state machine diagram shown in fig. 9 Fig. 11: a) Output of PFD when Feedback signal leading reference signal Fig.9: PFD State diagram Fig.11: b) Output of PFD when Feedback signal in phase with reference signal Fig.10: Schematic of PFD with GDI cells The schematic of PFD with GDI cells is given in fig. 10. It can be observed from this schematic that the PFD design using GDI has less complex hardware it than the conventional PFD. Hence, it requires less onchip area. IV. SIMULATION RESULTS A. Conventional PFD Transient analysis of PFD is carried out for three different conditions as shown fig 11. The waveforms obtained shows that the designed PFD fulfils required operation. The up and down pulses are obtained depending on inputs to it which can be applied to charge pump as input. All n-channel devices have a width of 700nm, and all p-channel devices have a width of 3µm. VDD is chosen to be 1.8 V for CMOS latch. All simulations are performed by using Cadence spectre simulator. Fig.11 : c) Output of PFD when Feedback signal lagging reference signal B. PFD with GDI cells The phase frequency detector has been designed to implement the PLL at 5 GHz and simulated by Virtuoso Cadence Spectre for low dead zone, small area and low power consumption. The output of PFD with GDI cells is shown in fig 12. The pulse illustrating phase difference is shown with UP signal with green colour. This circuit can be used in the application on high frequency, low dead zone and low power phase locked loop. JMESTN42351668 5113

ensure a lower probability of fabrication defects. A tool built into the Layout Editor, called Design Rule Checker (DRC), is used to detect any design rule violations during and after the mask layout design. Proposed Design shown in fig. 14 and 15 has clear DRC. Fig.12: Output of PFD with GDI cells Fig. 13 shows a longer simulation done on proposed PFD. The input CLK frequency is 500 MHz with Fclk leading Fvco by 20ps; this will result in having UP signal as we can see from the graph. This PFD were able to operate at much higher frequency 5GHZ is the highest frequency the PFD will operate at. Even at the phase difference of 20ps between Fclk and Fvco the proposed PFD is able to detect the difference which is depicted in fig. 13. Fig. 14: Layout of Conventional PFD Fig.13: Simulation with delay of 20ps V. CIRCUIT LAYOUT AND PERFORMANCE ANALYSIS Virtuoso is the main layout editor of Cadence design tools. The phase frequency detector is implemented using Cadence Virtuoso 0.18µm technology. Fig. 14 illustrates the layout of the conventional tri-state PFD. Layout of phase frequency detector with GDI cells are depicted in fig. 15. As shown, this PFD requires less on-chip area as compared to the conventional tri-state PFD. The performance comparison between both PFD topologies is shown in table.2. Layout versus Schematic (LVS) comparison ensures that the layout actually implements the required functionality. If the extraction program allows to extract also parasitic from the layout view, a more accurate, Post-Layout Simulation, can be performed taking into account the geometry of the circuit. The created mask layout must conform to a complex set of design rules, in order to Types of PFD Conventional PFD PFD with GDI cell Fig. 15: Layout of PFD with GDI cells Table 2 Performance comparison Power consumption 33.5uW Maximum op. frequency 500MHz Dead zone 100ps 8uW 5GHz 20ps JMESTN42351668 5114

VI. DISCUSSION AND CONCLUSION Comparison of maximum operation frequency between conventional PFD and PFD with GDI cell shows the variations of the maximum operation frequency with supply voltage. At 1.8V voltage supply the maximum operation frequency of the conventional PFD is 500 MHz whereas proposed PFD is.5 GHz.This indicates that proposed PFD is reliable in high speed applications REFERENCES [1] Dean Banerjee, PLL Performance, Simulation and Design, 4th edition, 2006 [2] Chiu W H, Huang Y H, and Lin T H, A dynamic phase error compensation technique for fast-locking phase-locked loops. IEEE Journal of Solid-State Circuits, Vol.45, No.6, pp.1137-1149, 2010. [3] Murphy D, Gu, Q J, Wu Y C, Jian H Y, Xu Z, Tang A, and Chang M C F, A low phase noise, wideband and compact CMOS PLL for use in a heterodyne 802.15. 3c transceiver. IEEE Journal of Solid-State Circuits, Vol.46, No.7, pp.1606-1617, 2011. [4]N. M. H. Ismail, M. Othman, A simple CMOS PFD for high speed applications, European Journal of Scientific Research, vol. 33, no.2, pp. 261 269, 2009. [5] K. Arshak, O. A. Baker, E. Jafer, Design and simulation difference types CMOS PFD for high Speed and low jitter PLL, in Proc. IEEE Int. Caracas Conf., Circuits and Systems, Dominican Republic, 2004, pp. 188 191. [6] N. H. E. Weste, K. Eshragrian, Principles of CMOS VLSI Design. MA, Addison Wesley, 1993. [7] H. Babazadeh, A. Esmaili, K. Hadidi, A highspeed and wide detectable frequency range phase detector for DLLs, in Proc. NORCHIP, 2009, pp. 1 3. [8] W. H. Chen, M. E. Inerowicz, B. Jung, Phase frequency detector with minimal blind zone for fast frequency acquisition, IEEE Trans. on Circuits and Systems-II: Express Briefs, vol. 57, no. 12, pp. 936 940, 2010. [9] M. Masuri, D. Liu, C. K. Yang, Fast frequency acquisition phase frequency detectors for Gsamples/s phase-locked loops, IEEE Journal Solid-State Circuits, vol. 37, no. 10, pp. 1331 1334, 2000. [Online]. Available:http://dx.doi.org/10.1109/JSSC.2002.803048 [10] L. -K. Soh, M. S. Sulaiman, Z. Yusof, A fast lock delay-locked loop architecture with improved precharged PFD, Journal of Analog Integrated Circuits Signal Process, vol. 55, pp. 149 154, 2008. [Online]. Available:http://dx.doi.org/10.1007/s10470-008-9131-7 [11] K. P. Thakore, H. C. Parmar, N. M. Devashrayee, Low power and low jitter phase frequency detector for phase lock loop, Int. Journal of Engineering Science and Technology, vol. 3, no. 3, pp. 1998 2004, 2011. [12] V. Lule, V. G. Nasre, Low power CMOS phase frequency detector a review, Journal of Scientific and Research Publications, vol. 2, no. 1, pp. 1 2, 2012. [13] S. Kim, K. Lee, Y. Moon, D.-K. Jeong, Y. Choi, H. K. Lim, A 960Mb/s/pin interface for skew-tolerant bus Journal of Multidisciplinary Engineering Science and Technology (JMEST) using low jitter PLL, IEEE Journal of Solid-State Circuits, vol. 32, no. 5, pp. 691 700, 1997. [Online]. Available:http://dx.doi.org/10.1109/4.568836 [14] K. Park, I. -C. Park, Fast frequency acquisition phase frequency detectors with prediction-based edge blocking, in Proc. IEEE Circuits and Systems Symposium, 2009, pp. 1891 1894. [15] X. Fan, B. Li, L. Yuan, Y. Wang, CMOS phase frequency detector and charge pump for wireless sensor networks, in Proc. IEEE Microwave Workshop Series on Millimeter Wave Wireless Technology and Applications, 2012, pp. 1 4. [16] C. W. Hsu, T. Karthik, S. A. Yu, R. K. Peter, A 2.2 GHz PLL using a phase-frequency detector with an auxiliary sub-sampling phase detector for in-band noise suppression, in Proc. IEEE Custom Integration Circuits Conf.,2011, pp. 1 4. [17] R. Y. Chen, W. Y. Chen, A High-speed fastacquisition CMOS phase/frequency detector for MB- OFDM UW, in Proc. IEEE Consumer Electronics,2007, vol. 25, no. 4, pp. 539 557. [18] W. H. Chen, E. I. Maciej, Byunghoo, Phase frequency detector with minimal blind zone for fast frequency acquisition, IEEE Trans. of Circuits and Systems II, Expert Briefs, vol. 57, no. 12, pp. 936 40, 2010. [19] R. H. Talwekar, Dr. S. S. Limaye, An Area Efficient, High Performance, Low Dead Zone, Phase Frequency Detector in 180 nm CMOS Technology for Phase Locked Loop System,ACEEE Int. J. on Communication, Vol. 02, No. 03, Nov 2011. [20] Abdul Majeed K.K. and Binsu J Kailath, Low power, High Frequency, Free Dead Zone PFD for a PLL Design,978-1-4673-6104-0/13/$31.00 2013 IEEE. [21] Madhusudan Kulkarni, Nagaraj Bhat and Santosh Herur, Analysis and Design of 1GHz PLL for Fast Phase and Frequency Lock,Proc. of Int. Conf. on Recent Trends in Signal Processing, Image Processing and VLSI,2014. JMESTN42351668 5115