Low Power Techniques for SoC Design: basic concepts and techniques

Similar documents
POWER GATING. Power-gating parameters

Low-Power Digital CMOS Design: A Survey

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Ruixing Yang

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

Low Power Design for Systems on a Chip. Tutorial Outline

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Power Spring /7/05 L11 Power 1

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Short-Circuit Power Reduction by Using High-Threshold Transistors

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Improved DFT for Testing Power Switches

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Low Power Design of Successive Approximation Registers

Implementation of dual stack technique for reducing leakage and dynamic power

Optimization of power in different circuits using MTCMOS Technique

Low Power Design Methods: Design Flows and Kits

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Leakage Power Reduction by Using Sleep Methods

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

Leakage Power Minimization in Deep-Submicron CMOS circuits

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Lecture 13 CMOS Power Dissipation

EECS 427 Lecture 22: Low and Multiple-Vdd Design

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

ELEC Digital Logic Circuits Fall 2015 Delay and Power

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

A Survey of the Low Power Design Techniques at the Circuit Level

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Low Power Design. Prof. MacDonald

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

The challenges of low power design Karen Yorav

Advanced Techniques for Using ARM's Power Management Kit

Power dissipation in CMOS

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

Ultra Low Power VLSI Design: A Review

Introduction to VLSI ASIC Design and Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Static Energy Reduction Techniques in Microprocessor Caches

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

LOW LEAKAGE CNTFET FULL ADDERS

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Leakage Power Reduction Using Power Gated Sleep Method

Closing the Power Gap between ASIC and Custom: An ASIC Perspective

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Domino Static Gates Final Design Report

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

A Novel Low-Power Scan Design Technique Using Supply Gating

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

BICMOS Technology and Fabrication

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Chapter 1 Introduction

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Aggressive Leakage Management in ARM Based Systems

Lecture #29. Moore s Law

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder

Low-Power CMOS VLSI Design

Contents 1 Introduction 2 MOS Fabrication Technology

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

CHAPTER 3 NEW SLEEPY- PASS GATE

Design of Low Power Vlsi Circuits Using Cascode Logic Style

CMOS circuits and technology limits

Integrated Circuits & Systems

Design Of Level Shifter By Using Multi Supply Voltage

Leakage Power Reduction in CMOS VLSI Circuits

MTCMOS Post-Mask Performance Enhancement

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Power Gating of the FlexCore Processor. Master of Science Thesis in Integrated Electronic System Design. Vineeth Saseendran Donatas Siaudinis

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Transcription:

Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal University of Santa Catarina September, 2014 1 / 54

Outline 1. Motivation 2. Basic Concepts Power vs. Energy Dynamic and Static Power Trends on Total Power Consumption 3. Standard Low Power Design Techniques Clock Gating Gate Level Optimization Multi V th Multi V dd 4. Advanced Low Power Design Techniques Power Gating Voltage and Frequency Scaling 2 / 54

Motivation Portable mobile devices (PMDs) comprise one of the fastest growing segments of the electonics market PMDs integrate a number of computationally-intensive functionalities Since PMDs are powered by batteries, energy is a major problem To tackle the energy issue a number of techniques are used throughout software and hardware design flow 3 / 54

Motivation (SAMSUNG, 2014) PMDs are complex systems of hardware and software known as System-on-Chip (SoC) An example of contemporary SoC is the Samsung Exynos 5 Dual used by Google Nexus 10 and Samsung Galaxy Tab II The Exynos 5 Soc is implemented in CMOS 32 nm and comprises 2x ARM Cortex-A15 processor and others complexes blocks This course focuses on low power design techniques for embedded hardware 4 / 54

Embedded hardware design flow The embedded hardware design flow is based on libraries of pre-characterized gates known as standard cell libraries It starts from a RTL description and ends up with a layout ready for manufacturing Several steps are performed (some iterativelly) so as to achieve the design functional and non-functional objectives as area, delay and power (CHINNERY; KEUTZER, 2008) 5 / 54

Power vs. Energy Power vs. Energy Delay (s) (KEATING et al., 2007) Performance metric Energy (Joule) Efficiency metric: effort to perform a task Power (J/s or Watt) Energy consumed per unit time Power Density (W /cm 2 ) Power dissipated per unit of area 6 / 54

Dynamic and Static Power Dynamic (switching) Power Energy / transition (J) CL V 2 dd consumed from source 1 2 C L V 2 dd dissipated during output transition 0 1 1 2 C L V 2 dd dissipated during output transition 1 0 P dyn (W ) 1 2 C L V 2 dd f clock α (KEATING et al., 2007) Switching activity (α) 0 α 1 7 / 54

Dynamic and Static Power Dynamic (short circuit) Power (KEATING et al., 2007) Energy / transition (J) Short circuit power occurs when both the NMOS and PMOS transistors are on Psc = t sc V dd I peak f clock α t sc is the time duration of the short circuit current I peak is the total switching current As long as the ramp time (slew) of the input signal is kept short, the short circuit current occurs for only a short time during each transition 8 / 54

Dynamic and Static Power Static (leakage) Power (RABAEY, 2009) Transistors are imperfect switches Main sources of static power are gate and sub-threshold leakage Gate leakage Tunneling currents through thin gate oxide (SiO 2) Sub-threshold leakage Current that flows from drain to source when transistor is off Isub = µc oxvt 2 W.e Vgs V th nvt L Threshold voltage vth depends exponentially on V gs V th 9 / 54

Trends on Total Power Consumption Trends on Total Power Consumption (KIM et al., 2003) Dynamic power slightly increases Power per transistor has reduced Number of transistor in a chip has increased Gate leakage increases exponentially Controlled through the use of high-k transistors from 45nm on Sub-threshold leakage Threshold voltage vth depends exponentially on V gs V th Vgs V th has reduced in recent technologies Multi Vth 10 / 54

Trends on Total Power Consumption Trends on Power Requirements for Mobile on 2004 There is a gap between battery capacity and power consumption Power consumption limit fixed: 3W (NEUVO, 2004) 11 / 54

Trends on Total Power Consumption Trends on Power Requirements for Mobile on 2011 (CARBALLO; B., 2011) A SoC with 48.8M logic gates using low-power techniques dissipates 3.5W in 2011 In 2026 the number of gates grows to 1995.5M and the power increases to 8.22W Power consumption limit reviewed: fixed at 2W until 2026 LOW POWER DESIGN TECHNIQUES ARE OF UTMOST IMPORTANCE! 12 / 54

Low Power Design Techniques Dynamic Power Reduction Clock gating Gate Level Optimization Static Power Reduction Multi Vth Total Power Reduction Multi Vdd Power gating Dynamic Voltage and Frequency Scaling 13 / 54

Clock Gating Impact of Clock Gating P dyn : 1 2 C L V 2 dd f clock α 50% or more dynamic power can be spent in the clock tree buffers since they have high switching activity A significant ammount of dynamic power is dissipated by flip-flops Clock gating turns clocks to idle modules resulting in ZERO activity (KEATING et al., 2007) 14 / 54

Clock Gating Clock Gating Within the Synthesis Flow (KEATING et al., 2007) Most standard cell libraries include clock gating cells Modern design tools support automatic clock gating e.g., Synopsys Design Compiler Small area overhead No change to RTL is required to implement clock gating Clock gating is inserted without changing the logic function 15 / 54

Clock Gating Clock Gating Within the Synthesis Flow (KEATING et al., 2007) Clock tree consumes a lot of dynamic power Trade off between fine and coarse-grain clock gating Fine-grain allows for turning off specific blocks. It comes at the expense of more area and skew Coarse-grain allows for higher power savings due to clock buffers. On the other hand, modules cannot be turned off as often 16 / 54

Clock Gating Clock Gating Within the Synthesis Flow (CHINNERY; KEUTZER, 2008) 17 / 54

Clock Gating Exampe of Clock Gating Use of clock gating on an MPEG4 decoder Gating 90% of flip-flops From 30.6mW to 8.5mW: 70% of dynamic power reduction (RABAEY, 2009) 18 / 54

Gate Level Optimization Impact of Gate Level Optimization A number of logic optimizations are performed during the design flow Modern design tools (e.g., Synopsys Design Compiler) perform a number of logic optimization so as to optimize area, power or delay Example of techniques are: Technology mapping, logic restructuring, gate sizing and buffer (KEATING et al., 2007) 19 / 54

Gate Level Optimization Technology Mapping and logic restructuring An AND gate with high activity followed by a nor gate can be replaced by a complex AND-OR gate plus an inverter Total number of transistors reduced from 10 to 6 Complex gates present intrinsic capacitances substantially smaller that inter-gate routing capacitances of a network of simple gates. A smaller output capacitance reduces the gate dynamic power 20 / 54

Gate Level Optimization Reducing Switching Activity (RABAEY; CHANDRAKASAN; NIKOLIC, 2002) Input reordering can effectively reduce switching activity (for pins with high transition rate) and thereby dynamic power Even though the activity at pin Z is the same for both cases, a simply reordering of inputs can reduce switching activity by 78% In the first circuit, activity is equal (1 0.5 0.2)(0.5 0.2) = 0.09 In the second circuit, activity is equal (1 0.2 0.1)(0.2 0.1) = 0.0016 21 / 54

Gate Level Optimization Gate Sizing and Buffer Insertion (CHINNERY; KEUTZER, 2008) Gate sizing is an important optimization technique used for different objectives. The idea is to select the size (increase or decrease drive strength) of the gates so as to reduce the delay on critical paths or reduce power on non critical paths In buffer insertion, the tool can insert buffers rather than increasing the drive strength of the gate itself 22 / 54

Gate Level Optimization Gate Sizing and Buffer Insertion For example, suppose a target delay of 0.11 ns. Since the initial synthesis achieved a delay of 0.11 ns, some gates can be sized to reduce power In the example, simply sizing 4 gates reduced power by 55% (CHINNERY; KEUTZER, 2008) 23 / 54

Gate Level Optimization Gate Level Optimization Withing the Synthesis Flow (CHINNERY; KEUTZER, 2008) 24 / 54

Multi V th Impact of Multi V th (KEATING et al., 2007) I sub = µc ox Vt 2 W L.e Vgs V th nvt W I ds = µc ox L. (Vgs V th) 2 2 Sub-threshold leakage depends exponentially on V th Delay has a much weaker dependence on V th Standard cell libraries offer two or three versions of cells with different V th Modern design tools support automatic V th assignment e.g., Synopsys Design Compiler 25 / 54

Multi V th Multi V th Withing the Synthesis Flow (CHINNERY; KEUTZER, 2008) 26 / 54

Multi V th Example of Multi V th (RABAEY, 2009) In a circuit, different paths have different delays. A positive slack means that the signal is ready at input of FF before the target delay One approach would be synthesize for high performance using low V th gates and then swapping non-critical gates for high V th Another approach would be synthesize for low power using high V th gates and then swapping critical gates for low V th 27 / 54

Multi V th Example of Multi V th (RABAEY, 2009) Experiment performed jointly by Toshiba and Synopsys to evaluate the impact of two different V th Using only high-v th degrades the performance Using only low-v th increases static power 4.2x w.r.t. high-v th The dual-v th strategy leaves timing and dynamic power unchanged, while reducing the static power by half w.r.t low-v th 28 / 54

Multi V dd Impact of Multi V dd P dyn = 1 2 C L V 2 dd f clock α (KEATING et al., 2007) W I ds = µc ox L. (Vgs V th) 2 2 Reducing V dd provides a quadratic reduction on P dyn but increases the delay of gates Reduce V dd on non-critical paths Power benefit without compromising the system performance 29 / 54

Multi V dd Impact of Multi V dd One challenge of power gating is interfacing signals between blocks A signal from a low-vdd to a high-v dd block may cause a very slow transition thereby resulting in large short-circuit currents A logic 1 in low-vdd may not be enough to achieve 1 in high-v dd (KEATING et al., 2007) To overcome such problems, level shifters are placed between the voltage islands Contemporary standard cell libraries offer level shifters cells 30 / 54

Multi V dd Impact of Multi V dd (KEATING et al., 2007) Multi V dd is not performed automatically by modern design tools The choice of voltage islands, as well as insertion of must be decided by the designers Other challenges of Multi V dd include power planning, timing analysis, voltage regulators, etc 31 / 54

Multi V dd Multi V dd Withing the Synthesis Flow (CHINNERY; KEUTZER, 2008) 32 / 54

Power Gating Impact of Power Gating (RABAEY, 2009) P stat = µc ox Vt 2 W L.e Vgs V th nvt P dyn = 1 2 C L Vdd 2 f clock α Static power Dissipated even on standby or sleep mode Even more important on battery powered portable devices Turning off the power of an idle block reduces static power to ZERO 33 / 54

Power Gating Impact of Power Gating (RABAEY, 2009) The idea is to use on-off switches to disconnect the module from the supply rails Header (PMOS) transistor is connected to V dd Footer (NMOS) transistor is connected to GND and is more area-efficient than PMOS Using botyh is more effective in reducing leakage since it exploits stacking effect independently of the input patterns 34 / 54

Power Gating Impact of Power Gating (KEATING et al., 2007) Activity profile for a sub-system using power gating Some information must be retained during sleep Some flip-flops must retain data during sleep mode After wakeup the data must be restored There is a leakage overhead to retain data during sleep 35 / 54

Power Gating Example of Power Gating (KEATING et al., 2007) A simplified view of an SoC that uses internal power gating In this example only V dd is switched, whereas GND is directly provided to the entire chip The power gating controller controls switches that provide power to the power gated block 36 / 54

Power Gating Example of Power Gating (KEATING et al., 2007) One challenge of power gating is interfacing signals between blocks The signal from/to a power up/down block must be isolated To overcome such problems, isolation cells are placed between the blocks Contemporary standard cell libraries provide isolation cells 37 / 54

Power Gating Example of Power Gating Another challenge of power gating is how to retain the internal state of the block during power down/up It is common to use retention registers to store the internal state The choice of a retention strategy is crucial to determine the amount of time to power down/up, as well as the leakage consumption during sleep mode (KEATING et al., 2007) Contemporary standard cell libraries provide retention registers 38 / 54

Power Gating Example of Power Gating (KEATING et al., 2007) In practice, power gating is a challenging task during the design flow Designers must clearly define which blocks can powered down as well as define the power up and power down sequence The state retention plan must be carefully studied Modern design tools do not place automatically isolation cells nor insert retention registers 39 / 54

Power Gating Power Gating Withing the Synthesis Flow (CHINNERY; KEUTZER, 2008) 40 / 54

Power Gating A Real Use Case Example of Power Gating: Salt 90nm Implemented in 90nm and containts an ARM processor The idea is to evaluate the impact on power and performance of using: Clock gating Power gating Different Vdds Different clock frequencies (KEATING et al., 2007) 41 / 54

Power Gating The Salt SoC (KEATING et al., 2007) The project uses four low-power modes Halt turns off the clocks to the processor Snooze turns off the internal power supply to the processor with state retention, but cache memories remain powered up. This mode allows fast power up Hibernate turns off the external power supply to the processor but cache memories remain powered up Shutdown turns off the external power supply to the processor and caches 42 / 54

Power Gating Power State Machine for Salt The project uses four low-power modes Halt turns off the clocks to the processor Snooze turns off the internal power supply to the processor with state retention, but cache memories remain powered up. This mode allows fast power up Hibernate turns off the external power supply to the processor but cache memories remain powered up Shutdown turns off the external power supply to the processor and caches 43 / 54

Power Gating Measurement and Analysis Post-Silicon of Salt Project (KEATING et al., 2007) Evaluate power at different operation modes Nominal V dd is 1.0v. V dd steps in 10%: from 110% to 70% Three different clock frequencies being the nominal 300MHz The first three measurements show the dynamic power for different frequencies/v dds Clock gate and Save Restore Power Gating measurements show the leakage power 44 / 54

Voltage and Frequency Scaling Dynamic Voltage and Frequency Scaling (RABAEY, 2009) Workload can vary a lot over time For instance, the motion compensation block of a video compression that computes how much a video frame differs from the previous one A fast moving car chase scene has a lot of computation A nature landscape varies little over time The IDCT histogram shows that the computational effort can vary 2-3 orders of magnitude 45 / 54

Voltage and Frequency Scaling Dynamic Voltage and Frequency Scaling (RABAEY, 2009) Adjusting only the frequency reduces power but leaves the energy per operation unchanged Therefore, the amount of work that can be performed by the battery remains the same A more effective way of exploiting the workload variation is to adjust simultaneously frequency and supply voltage 46 / 54

Voltage and Frequency Scaling Dynamic Voltage and Frequency Scaling (DVFS) (RABAEY, 2009) Impact on dynamic and static power Pdyn : 1 C 2 L Vdd 2 f clock α Isub = µc oxvt 2 W.e Vgs V th nvt L W Ids = µc ox. (Vgs V th) 2 L 2 The idea is to dynamically adjust the voltage and frequency of block according to the workload Dynamic Voltage and Frequency Scaling has a set of voltage and frequency values that are dynamically switched DVFS not only reduces power but reduces the energy per operation as well 47 / 54

Voltage and Frequency Scaling Voltage and Frequency Scaling Opportunity using DVFS) (KEATING et al., 2007) P dyn : 1 2 C L Vdd 2 f clock α There is a a region of operation where frequency increases monotonically over voltage within some limits Maximum voltage specified for the technology (process) Minimum voltage in which the circuitry runs safe Therefore, the designer can explore different pairs (V dd, f clock ) during design time 48 / 54

Voltage and Frequency Scaling Power and Energy Reduction Oportunities using DVFS) (KEATING et al., 2007) P dyn : 1 2 C L V 2 dd f clock α There is a different power dissipation relationship between reducing frequency with and without reducing supply voltage The gap between the two curves equals the power saving achievable between the minimum and maximum operating voltages DVFS allows more than a liner power reduction 49 / 54

Voltage and Frequency Scaling Power and Energy Reduction Oportunities using DVFS) (KEATING et al., 2007) P dyn : 1 2 C L V 2 dd f clock α Energy is the integration of power over the time taken to complete a task Ignoring leakage, reducing frequency at half, halves the dynamic power but takes twice as long to complete the task Scaling voltage reduces quadratically the dynamic power, allowing to reduce energy as well 50 / 54

Voltage and Frequency Scaling A Real Use Case Example of DVFS: ULTRA926 130nm A chip using an ARM processor developed in conjuction with Synopsys It uses a nominal supply voltage of 1.2V The idea is to evaluate the energy savings of applying DVFS Other low power techniques are also used such as power gating and clock gating (KEATING et al., 2007) 51 / 54

Voltage and Frequency Scaling A Real Use Case Example of DVFS: ULTRA926 130nm (KEATING et al., 2007) Histogram plotting the energy consumption data for different pairs (V dd, f clock ) From 60% to 110% of max 1.2V. From 50% to 100% of max 288MHz Some pairs fail to attend the required performance e.g., (0.72V, 192MHz), (0.78V, 240MHz) Note only scaling frequency results in almost the same energy value It is possible to observe a close-to-linear energy relationship for different voltage ranges 52 / 54

References I CARBALLO, J.-A.; B., K. A. Itrs chapters: Design and system drivers. In: Future Fab International (36). [S.l.: s.n.], 2011. p. 45 48. CHINNERY, D.; KEUTZER, K. Closing the power gap between ASIC & custom: tools and techniques for low power design. [S.l.]: Springer, 2008. KEATING, M. et al. Low power methodology manual: for system-on-chip design. [S.l.]: Springer Publishing Company, Incorporated, 2007. KIM, N. S. et al. Leakage current: Moore s law meets static power. Computer, v. 36, p. 68 75, December 2003. NEUVO, Y. Cellular phones as embedded systems. In: IEEE. Solid-State Circuits Conference, 2004. Digest of Technical Papers. ISSCC. 2004 IEEE International. [S.l.], 2004. p. 32 37. RABAEY, J. Low power design essentials. [S.l.]: Springer, 2009. 53 / 54

References II RABAEY, J. M.; CHANDRAKASAN, A. P.; NIKOLIC, B. Digital integrated circuits. [S.l.]: Prentice hall Englewood Cliffs, 2002. SAMSUNG. Exynos 5 Dual. 2014. Disponível em: http://www.samsung.com/global/ business/semiconductor/product/application/detail?productid=7668. 54 / 54

Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal University of Santa Catarina September, 2014 54 / 54