Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits

Similar documents
Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit

Impact of Interconnect Length on. Degradation

RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing

SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging

An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation

Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing 1 Xiaofei Wang

All-Digital PLL Frequency and Phase Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits

SILICON ODOMETERS:COMPACT IN SITU AGING SENSORS FOR ROBUST SYSTEM DESIGN

An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

A mm 2 /Channel Time-Based Beat Frequency ADC in 65nm CMOS for Intra-Electrode Neural Recording

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

True Random Number Generator Circuits Based on Single- and Multi- Phase Beat Frequency Detection

On-Chip Silicon Odometers and their Potential Use in Medical Electronics

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

RANDOM telegraph noise (RTN) has become an increasing

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Microelectronics Reliability

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

An accurate track-and-latch comparator

Digital PWM IC Control Technology and Issues

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

Signal Integrity Design of TSV-Based 3D IC

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

20Gb/s 0.13um CMOS Serial Link

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

Introduction to CMOS RF Integrated Circuits Design

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota

Delay-based clock generator with edge transmission and reset

A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2

Lecture #29. Moore s Law

Digital PWM IC Control Technology and Issues

Effect of Aging on Power Integrity of Digital Integrated Circuits

I DDQ Current Testing

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

OSC2 Selector Guide appears at end of data sheet. Maxim Integrated Products 1

Modeling and Simulation Tools for Aging Effects in Scaled CMOS Design. Ketul Sutaria

Design of Analog and Mixed Integrated Circuits and Systems Theory Exercises

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

SiNANO-NEREID Workshop:

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

Choosing Loop Bandwidth for PLLs

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Electronic Circuits EE359A

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

Lecture 10: Accelerometers (Part I)

Lecture 7: Components of Phase Locked Loop (PLL)

The challenges of low power design Karen Yorav

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Session 10: Solid State Physics MOSFET

Introduction to VLSI ASIC Design and Technology

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA TAE-HYOUNG KIM

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

System-on-Chip. Electro-thermal effects in radio ICs. Overview. Tri-band GSM radio receiver (front-end)

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN

19. Design for Low Power

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

電子電路. Memory and Advanced Digital Circuits

Low Voltage SC Circuit Design with Low - V t MOSFETs

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

AMultistory Multi-story Power Delivery Technique for 3D Integrated Circuits

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

A Prototype Amplifier-Discriminator Chip for the GLAST Silicon-Strip Tracker

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

Basic Fabrication Steps

Front-End and Readout Electronics for Silicon Trackers at the ILC

Contents 1 Introduction 2 MOS Fabrication Technology

Transcription:

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Tae-Hyoung Kim, Randy Persaud and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis chriskim@umn.edu www.umn.edu/~chriskim

Outline NBTI Overview Previous NBTI Measurement Method Proposed Silicon Odometer Circuit Beat Frequency Detection Scheme Test Chip Measurement Results Voltage and Temperature Dependency DC and AC Conclusions 2

Negative Bias Temperature Instability SiH + h + Si + + H One of the most critical reliability issues today Holes in inversion layer interact with Si-H bonds at interface when device is under stress (V gs = -V dd ), leave interface traps NBTI manifests itself as an increase in V tp 3

and Recovery When a stressed PMOS is turned off Si-H bond breaking stops H diffused back to Si/SiO 2 interface and anneals broken bonds AC stress increases lifetime projection Increasing field and temperature, reduced gate overdrive reintroduce NBTI concerns in the late 90s 4

NBTI Signal Probability Dependence SP=0.75 0 8 SP=0.5 SP=0.25 V tp (mv) 6 4 2 SP=.0 SP=0.75 SP=0.5 SP=0.25 0 0 00 200 300 400 500 600 700 800 900 000 Time (s) Device is stressed when input signal is low Signal Probability (SP): Probability that the input signal is low NBTI effect is SP dependent 5

NBTI Impact on Digital Circuits WL i 6 3 o BLB BL i 2 7 4 2 o 2 V L V R i 3 8 5 Logic circuits F MAX degrades Leakage power reduces Memory circuits Read margin worsens Write stability improves Read delay remains the same NBTI affects critical circuit parameters Need to design circuits with NBTI-induced shifts comprehended 6

Circuit Techniques to Mitigate the Impact of NBTI Degradation Product margin-testing, guard-banding Size up devices Negates benefits of scaling, increases power Toggle circuit nodes Less degradation under AC stress Lower temperature Difficult task now dense/fast designs are hot Progressive V tp and V dd tuning We can slowly increase V dd or forward body bias PMOS as performance degrades with aging (Intel, ISSCC07) Bottom line: Need to accurately measure the NBTI effect and develop compact models 7

Previous NBTI Measurement Technique V cc_ring Ring Osc./ Critical Path Replica Counter F = F rosc /N F = F rosc Before After V. Reddy et al., IRPS, 2002 Measure ring oscillator frequency shift Main limitations Low sensing resolution (few % frequency change) Sensitive to environmental variation during measurement Invasive, not suitable for run-time monitoring 8

Proposed Silicon Odometer Circuit V DD_STR V DD_NOM 0V Measurement period ed ROSC (freq=f stress )...... A B Reference ROSC Phase Comp. C PC_OUT (freq=f ref -f stress ) (freq=f ref ) Two free running ROSCs for beat frequency detection Sample stressed ROSC output using reference ROSC output Count PC_OUT to determine frequency degradation Insensitive to environmental variation 9

Principle of Silicon Odometer Circuit A B C ed ROSC Reference ROSC N. f ref (freq=f stress ) (freq=f ref ) PC_OUT (freq=f ref -f stress ) -Before stress N. = (N ). f ref f stress -After stress N. = (N ). f - % frequency degradation f stress - fstress N - N = f N ( N-) Operation example % delay difference before stress N = 00 2% delay difference after stress N = 50 N changes by 50 for % change in delay sub-ps resolution degradation measurements stress ref f stress 0

Sensing Resolution Comparison Counter output (N) 20 00 80 60 40 20 0 99 98 50 Proposed Conventional ΔN= @ % ΔN=50 @ % 0 0.5.5 2 Frequency degradation (%) 33 High delay sensing resolution For N=00 and T=4ns, maximum sensing resolution is 0.4ps (0.0%)

Test Chip Architecture Control signals (Meas_stress, Toggle, OSC_en) Ring oscillator (reference) ROSC_ REF B 8-bit counter Reset N Register Reset Scan out DETECT Control signals (Meas_stress, AC_stress, AC_CLK, Recv_stress ) Ring oscillator (stressed) ROSC frequency trimming ROSC_ STRESS A Phase compar - ator C 0000 5-bit majority voting ckt. Bubble rejection DETECT D Beat freq. detector 0000 N. f ref Frequency trimming capacitors set the initial frequency difference between the stressed and reference ROSC 5 bit majority voting circuit for bubble rejection 2

Ring Oscillator Circuit Design ROSC Frequency Trimming V DD Control S0 S S2 S3 S4 Meas_ AC_ Recv_ V 2 0 DD_STRESS V DD_NOM C Virtual V DD 2 C. 2 2 C 2 3 C 2 4 C Measurement mode Meas_ AC_ AC_CLK Toggle Mode Control # mode Mode Control #2 4ns ROSC period, frequency trimming capacitors mode and measurement mode Meas_ triggers the measurement 3

Various /Recovery Modes V DD Control Meas _ AC_ Recv _ V DD_STRESS V DD_NOM Virtual V DD. Measurement mode Meas _ AC_ AC_CLK Toggle Mode Control # mode Mode Control #2 <µs Meas_ Meas. Meas. Meas. Mode Meas_ AC_ Toggle DC_ w/ toggle 0 DC_ w/o toggle 0 0 AC_ X Measurement 0 0 X 4

Phase Comparator Circuit Design CLK CLK: Delayed RSOC_REF ROSC_REF ROSC_STRESS B A A CLK CLK PC_OUT CLK CLK CLK CLK B A PC_OUT Beat frequency Delayed ROSC_REF used as reference clock Dynamic circuit implementation PC_OUT contains the beat frequency 5

Simulated Waveforms DETECT Beat frequency Latency VOTE _OUT (D in Fig. 4) PC_OUT (C in Fig. 4) ROSC _REF (B in Fig. 4) ROSC_STRESS (A in Fig. 4) 3 ROSC cycles of measurement latency Static signal from 5b majority voting circuit DETECT signal gives beat frequency 6

Test Chip Implementation Measurement work bench Labview GUI 0.3µm MM/RF CMOS, 265 x 32 µm 2 layout area ed and reference ROSCs have identical layout Chips were not recycled since once stressed, they will not fully recover 7

Odometer Measurement Results and recovery behavior Temperature dependency Frequency degradation 0.30% 0.25% 0.20% 0.5% 0.0% 0.05%.2V, 30 C Frequency degradation 0.35% 0.30% 0.25% 0.20% 0.5% 0.0% 0.05% 30 C,.2V DC stress 30 C,.2V DC stress 0.00% 0.00% 0 2000 4000 6000 0 500 000 500 2000 Time (sec) Time (sec) Resolution high enough (<0.02% or <0.8ps) for nonaccelerated stress measurements 80% recovery rate due to relatively thick T ox Worse degradation at higher temperature 8

Voltage Dependency.00%.2V DC stress, 30C.8V DC stress, 30C 0.67% Frequency degradation y = 0.0028 x 0.58 y = 0.000 x 0.220 0.24% 0.0% 0 00 000 0000 Time (sec) Degradation exponentially dependent on the electric field Delay degradation has same power-law dependency as ΔV tp 9

DC versus AC 0.80%.8V, 30 C Frequency degradation 0.70% 0.60% 0.50% 0.40% 0.30% 0.20% 0.0% AC stress (20MHz) AC stress (GHz) DC stress 0.67% 0.38% 0.33% 0.00% 0 500 000 500 Time (sec) AC stress results in 43-50% less frequency degradation Weak frequency dependency Many baby steps takes you same distance as a few giant steps This behavior also confirmed by recursive RD models 20

Conclusions NBTI is a growing threat to circuit reliability On-chip NBTI monitor circuits are needed to understand aging impact on circuits Silicon odometer circuit demonstrated Fully digital, minimal calibration Sub-picosecond sensing resolution Sub-microsecond measurement time for minimal annealing Differential measurement eliminates common-mode environmental variation impact Acknowledgements: IBM for financial support and UMC for chip fabrication 2