Improving registration metrology by correlation methods based on alias-free image simulation

Similar documents
Registration performance on EUV masks using high-resolution registration metrology

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Optics for EUV Lithography

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Line edge roughness on photo lithographic masks

Optical Proximity Effects

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Exhibit 2 Declaration of Dr. Chris Mack

Optical Microlithography XXVIII

1. INTRODUCTION ABSTRACT

A Study of Closed Loop Application: WLCD CDC for 32nm and beyond reticles

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Reducing Proximity Effects in Optical Lithography

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

PROPERTY OF THE LARGE FORMAT DIGITAL AERIAL CAMERA DMC II

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Pellicle dimensions for high NA photomasks

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

OPC Rectification of Random Space Patterns in 193nm Lithography

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Inspection of templates for imprint lithography

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Innovative Mask Aligner Lithography for MEMS and Packaging

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Copyright 2000 Society of Photo Instrumentation Engineers.

Comparison of actinic and non-actinic inspection of programmed defect masks

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Purpose: Explain the top advanced issues and concepts in

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Lithography. International SEMATECH: A Focus on the Photomask Industry

Mirror-based pattern generation for maskless lithography

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

On spatial resolution

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Optical Proximity Effects, part 3

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

LWIR NUC Using an Uncooled Microbolometer Camera

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Process Optimization

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Bringing Answers to the Surface

Optical Coherence: Recreation of the Experiment of Thompson and Wolf

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Synthesis of projection lithography for low k1 via interferometry

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Low aberration monolithic diffraction gratings for high performance optical spectrometers

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Investigations of Digital Levels for High Precision Measurements

Demo Pattern and Performance Test

Development of Nanoimprint Mold Using JBX-9300FS

2009 International Workshop on EUV Lithography

Managing Within Budget

Optical design of a high resolution vision lens

Optical Projection Printing and Modeling

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Optical Maskless Lithography (OML) Project Status

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Micro- and Nano-Technology... for Optics

Progresses in NIL Template Fabrication Naoya Hayashi

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

The spectral colours of nanometers

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

What s So Hard About Lithography?

Optical Maskless Lithography - OML

Chapter 15 IC Photolithography

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

ON THE REDUCTION OF SUB-PIXEL ERROR IN IMAGE BASED DISPLACEMENT MEASUREMENT

Optolith 2D Lithography Simulator

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Multi-beam mask writer MBM-1000 for advanced mask making

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Market and technology trends in advanced packaging

(Complementary E-Beam Lithography)

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

More on the Mask Error Enhancement Factor

Transcription:

Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen, Germany; ABSTRACT The increased industry requirements for pattern registration tools in terms of resolution and in-die measurement capability lead to the development of the new photomask registration and overlay metrology system PROVE TM at Carl Zeiss. Performance measures of the tool are actually driven by double exposure/ double patterning approaches which will help to extend the 193nm lithography platforms while keeping the semiconductor industry conform to ITRS roadmap requirements. To achieve the challenging specifications, PROVE TM features beside a highly stable hardware system new image analysis methods which are designed to meet the requirements both for standard markers as for in-die features. For that, in addition to conventional threshold-based image analysis, PROVE TM will provide a more accurate correlation analysis to measure pattern placement errors with respect to design images. This correlation is based on an aerial image simulation of the corresponding reference design patterns. Since reproducibility and accuracy specifications at camera level are far below the pixel size of the CCD, sophisticated algorithms have to be used to avoid super-pixelling effects. It will be shown that super-pixelling effects of discretized design images will either lead to placement errors or to unrealistic small design pixel dimensions, connected with huge image sizes. The solution is an alias-free forward transform that performs the discretization in Fourier space and will not disturb the pattern placement. It is indicated by simulations that this allows the detection of an arbitrary sub-pixel placement error with high accuracy. Furthermore, it is demonstrated that correlation methods reduce the impact of camera noise compared to threshold methods, in particular for small in-die features as contact holes. Keywords: photomask metrology; registration; pattern placement; aerial image simulation; image analysis; super-pixel effects 1. MOTIVATION PROVE the next generation registration and overlay metrology system - just recently introduced into the market, enables in-die measurement capability by means of a high-resolution 193 nm optics, as well as optimized illumination for best contrast and pellicle compatibility. The basic specifications and measurement options are summarized in table 1. Applying double patterning in particular requires rigorous manufacturing control over level to level registration in order to achieve the specified yield and device speed. The registration measurement on production features is therefore inevitable. Conventional image analyzes schemes for small features suffer from optical proximity effects, low intensity profiles and resolution limitations due to given camera pixel sizes and so called super-pixelling effects. For PROVE, Carl Zeiss has developed several new concepts to overcome these obstacles. The current registration performance of PROVE for different substrates and specifications will be presented in chapter 2, followed by a detailed discussion of an aerial image based correlation analysis for adjacent features which takes into account optical proximity effects as well as subpixel placement errors. Photomask Technology 2010, edited by M. Warren Montgomery, Wilhelm Maurer, Proc. of SPIE Vol. 7823, 78232N 2010 SPIE CCC code: 0277-786X/10/$18 doi: 10.1117/12.867255 Proc. of SPIE Vol. 7823 78232N-1

PROVE TM Specification Short Term Reproducibility (3-sigma in nm) Nominal Grid Accuracy (3-sigma in nm) Mask Types 4X: CD Measurement Option Through Pellicle Measurement Option 0.50 1.00 Chrome on Glass (COG) Attenuated Phase shift Complex tri-tone Chrome-less phase shift OMOG EUV yes yes Table 1: Basic specifications and measurement options for PROVE TM 2. STATUS OF PROVE The history of PROVE as a SEMATECH funded project goes back until mid 2007 and the mask making community has been informed regularly about the progress at all major conferences [1-7]. Meanwhile, the project has reached its final status after meeting the SEMATECH specifications and the first two systems have been delivered to different customers. The tool is dedicated to the registration measurement of small features in particular and therefore employs high resolution optics with 193nm illumination together with adaptable illumination settings for contrast enhancements. Nevertheless, the required registration specification as highlighted in table 1 can only be reached with a well controlled and calibrated stage. Both sub-components, stage as well as optical beam path are in-house developments while the environmental control unit and the handling system are developed and delivered by OEM suppliers. CoG OMOG EUV 20 loops X/Y: 0.45/0.43nm Multipoint Alignment Reflection 20 loops X/Y: 0.47/0.43nm Multipoint Alignment Transmission 20 loops X/Y: 0.48/0.45nm Multipoint Alignment Reflection Figure 1: Short term repeatability for PROVE TM, measured on different mask types Proc. of SPIE Vol. 7823 78232N-2

After fine tuning and calibration, the PROVE alpha tool had reached measurement status in mid 2009 and was used for first performance tests and application work. Figure 1 displays the registration performance of PROVE for different substrates and illumination methods. In all cases, a short term repeatability of below 0.5nm could be achieved. Long term repeatability with 4 loads 10 loops per load X/Y: 0.62/0.56nm Multipoint Alignment Reflection Figure 2: Long term repeatability for PROVE TM, measured on Chrome-on-Glass (CoG) mask Nominal accuracy over 4 orientations: 0, 90, 180, 270 10 loops per Orientation X/Y: 0.97/0.87nm Figure 3: Nominal accuracy for PROVE TM, measured on Chrome-on-Glass (CoG) mask Proc. of SPIE Vol. 7823 78232N-3

The long term repeatability which includes the loading effects is displayed in Figure 2, using the reflective mode. Compared to previously reported results [7], the impact of thermal and loading effects could be significantly reduced. Figure 3 shows the measurement performance for nominal accuracy when measuring in 4 orientations which is well below 1nm. 3. DESIGN OF EXPERIMENT 3.1 Registration measurement of in-die structures For a registration tool of the next generation, the relative position of structures on a photomask has to be determined with an error of about 0.5nm. This sub-nanometer precision translates for the task of image analysis into a sub-pixel position detection capability. As it can be seen from Section 2, this had been demonstrated with PROVE for standard registration crosses. However, for in-die structures down to a critical dimension of 120nm or below only a few pixels represent the mask structure on CCD. Here conventional threshold analysis of structure edges will not succeed to measure registration errors with the required accuracy and reproducibility. To deal with this problem, we developed new image analysis methods based on correlation routines. The idea is to determine the position of the measured features by a correlation with a propagated design image, taking into account the complete optical beam path information of the tool, e.g. numerical aperture (NA), aberrations or pupil apodisation [8]. For this correlation, a larger area than only the edges of the image can be used, thus decreasing the influence of camera noise and increasing the reproducibility of the measurement. To demonstrate this, we design a simulation study by using 5 120nm pinholes with 240nm pitch aligned in a row (Figure 4a). The outer pinholes will experience an unsymmetrical neighborhood and therefore show optical proximity effects. We will show, that these optical proximity effects are inherent in the conventional threshold measurement, but absent in the correlation measurement. This is an additional advantage of the correlation method. On each of the 5 pinholes a threshold and a correlation measurement are defined, respectively. The used regions of interesting (ROI s) are shown in Figures 4b and 4c as white rectangles. It becomes clear that the correlation measurement uses more image information than the threshold measurement. Y [nm] X [nm] 120 240 (a) (b) (c) Figure 4: (a) Design of the in-die structure (pinhole array with CD = 120nm) used for simulations and (b) the resulting PROVE image with a single threshold measurement ROI and (c) the resulting PROVE image with a single correlation measurement ROI. 3.2 Alias-free reference image simulation A basic requirement for the correlation method is an exact simulation of the PROVE reference image based on the design information. In particular, no discretization errors should be introduced by the numerical implementation. Since the pixel size of PROVE at mask level is 25nm/px (for a 1000x1000 CCD), a pixelated mask transmission function will not yield the required resolution to be used as a reference image. A possible way out could be an increase of resolution of the mask transmission function, but the desired resolution of at least 1nm/px will lead immediately to unrealistic large matrices (e.g. 25000x25000px) to perform a Fourier transformation in a appropriate time. Proc. of SPIE Vol. 7823 78232N-4

To overcome this problem we use alias-free propagation methods. Basically, the necessary discretization to come from continuous design vertices to a discrete reference image is performed in Fourier space. This guarantees the position accuracy of the features in the simulated reference images. To show the accuracy of the method, we simulate a standard marker cross with 1µm linewidth and shift the design in 1nm steps over a single pixel. The marker position is determined by means of the threshold method with 4 ROI s on the cross. As it can be seen from Figure 5, it shows a perfect matching with the given shift with a pattern placement error (CrossPosition measured CrossPosition design ) smaller than 0.04nm. Figure 5: Registration in x (left) and registration error (CrossPosition measured CrossPosition design ) in x (right) evaluated with threshold method and 7 focus planes. A standard marker cross with 1µm linewidth has been shifted in x by 1nm steps over a single pixel. 4. SIMULATION RESULTS 4.1 Threshold measurement For the simulation of a threshold measurement on the in-die pinhole structure, a Monte-Carlo simulation with 100 runs is performed, taking into account the pixel noise of the CCD camera. This pixel noise is described by normal distributed noise with σ = 0.5%, multiplied to each image. A focus stack with 7 focus planes (11 focus planes) is simulated around best focus with a focus range of +/-150nm which is adequate for the 120nm structure. For image analysis we use the ROI s shown in Figure 2b with a size of 3*CD x CD and the usual tool routines. The numerical aperture has been set to 0.6 and a full incoherent sigma setting was used. The resulting registration error, calculated as the mean value of PinholePosition measured PinholePosition design, is shown in Figure 6 for 7 focus planes. It is clearly visible, that the outer pinholes show a registration error of more than 3nm in the x coordinate due to optical proximity effects. This is an inherent property of a conventional threshold measurement. The reproducibility of the simulated measurement with the given noise is shown in Figure 7a. The 3σ value of 100 Monte- Carlo runs is below 0.79nm in x and below 0.52nm in y. This difference between x and y is due to the difference of the profile contrast in the respective directions: in y direction the profile has larger contrast and this leads to an improved reproducibility. It can be seen from Figure 7b that a larger focus stack improves the reproducibility by a factor of 11/ 7 1. 25, but a specification of 0.5nm is hard to achieve. For this we will use the correlation method. Proc. of SPIE Vol. 7823 78232N-5

Figure 6: Registration error in x (left) and y (right) evaluated with threshold method and 7 focus planes (PinholePosition measured PinholePosition design ). Mean value of Monte-Carlo simulations (100 runs) with normal distributed camera noise (σ = 0.5%). (a) (b) Figure 7: Registration reproducibility with threshold method and (a) 7 focus planes and (b) 11 focus planes. Monte-Carlo simulation (100 runs) with camera noise (normal distributed with σ = 0.5%). 4.2 Correlation measurement For the simulation of the correlation measurement, a Monte-Carlo simulation with 100 runs is performed with the same conditions as before. The resulting registration error, calculated as the mean value of PinholePosition measured PinholePosition design, is shown in Figure 8 for 7 focus planes and for 11 focus planes. This registration error for the x coordinate is now below 0.1nm (for 11 focus planes slightly worse). The registration error in y is negligible. The measurement is almost not perturbed by optical proximity effects but measures the true design positions of the in-die features. The explanation for this is that all optical proximity effects are already included in a properly simulated reference image. Thus, by comparing the reference image with the measured image, these effects drop out and only the resulting registration errors are measured. The reproducibility of the correlation measurement is shown in Figure 9. For 7 focus planes it is below 0.55nm and with 11 focus planes it may be improved again by the factor of 11/ 7 1. 25 to be below 0.4 nm. This is an obvious improvement compared to the threshold method. Again the reproducibility in the y coordinate is slightly better due to the larger contrast in this direction. Proc. of SPIE Vol. 7823 78232N-6

Figure 8: Registration error in x and y, evaluated with correlation method and (a) 7 focus planes and (b) 11 focus planes (PinholePosition measured PinholePosition design ). Mean value of Monte-Carlo simulation (100 runs) with camera noise (normal distributed with σ = 0.5%). (a) (b) Figure 9: Registration reproducibility with correlation method and (a) 7 focus planes and (b) 11 focus planes. Monte-Carlo simulation (100 runs) with camera noise (normal distributed with σ = 0.5%). The scaling of the reproducibility with feature size is shown in Figure 10. For that, similar simulations as above were performed with increasing pinhole size of {120, 150, 200, 250, 350, 500} nm. The corresponding ROI s have been enlarged by the same scaling. For each of the 100 Monte-Carlo runs, the maximal 3σ of all 5 pinholes has been taken. It turns out that this maximal value drops down very fast with increasing CD such that for a pinhole size of 200nm the reproducibility is around 0.15nm and for a pinhole size of 500nm the reproducibility is below 0.1nm. Figure 10: Maximal registration reproducibility with correlation method and 11 focus planes. Monte-Carlo simulation (100 runs) with camera noise (normal distributed with σ = 0.5%) for different feature sizes. Proc. of SPIE Vol. 7823 78232N-7

4.3 Correlation measurement of mask writing error To demonstrate the accuracy of the alias-free forward propagation, the correlation measurement is now varied. The reference image is generated by the ideal design, as before, but the measurement images are generated by a design with slightly changed pinhole positions to represent mask writing errors. The 5 pinhole positions are changed in x coordinate by an amount of {1.0, 2.0, 3.0, 4.0, 5.0} nm, thus every pinhole experiences a different mask writing error with ascending magnitude. Note again the pixel resolution of 25nm/px, thus a shift of 1nm corresponds to 0.04px. The challenge is, to measure this tiny sub-pixel shift by means of the same reference image as before. The results for the registration error are shown in Figure 11a. It is obvious, that the given shift can be measured almost exactly. In Figure 11b, the given shift of the pinholes is already taken into account such that the registration error would be zero in the best case. The true registration error in x decreases a little with respect to the previous case, and is below 0.06nm. Again, the registration error in y is negligible. The reproducibility of this measurement for 100 Monte-Carlo runs and 11 focus planes is shown in Figure 12. It is below 0.4nm. (a) (b) Figure 11: (a) Registration error in x, evaluated with correlation method and 11 focus planes (PinholePosition measured PinholePosition design ). Mean value of Monte-Carlo simulation (100 runs) with camera noise (normal distributed with σ = 0.5%). The pinholes to be measured are shifted by {1.0, 2.0, 3.0, 4.0, 5.0} nm, while the reference image remains the same. (b) The given shift was already taken into account, showing the true error. Figure 12: Registration reproducibility with correlation method and 11 focus planes. Monte-Carlo simulation (100 runs) with camera noise (normal distributed with σ = 0.5%). The pinholes to be measured are shifted by {1.0, 2.0, 3.0, 4.0, 5.0} nm, the reference image remains the same. Proc. of SPIE Vol. 7823 78232N-8

This example of 120nm dense contacts demonstrates the impressive measurement reproducibility that can be obtained with 2D correlation and alias-free propagation methods. 5. SUMMARY The PROVE Project has made steady progress and was recently introduced into the market. The tool has reached all major specifications for repeatability and accuracy and provides excellent resolution capabilities by its 193nm illumination system. However, despite the inherent high resolution, super-pixelling effects as well as optical proximity effects have to be addressed and solved. In this paper we discussed methods for an alias free image analysis based on forward transform that performs the discretization in Fourier space and presented the advantages of the 2D correlation methods in respect to the standard threshold method. It could be demonstrated that 2D correlation based on reference images which take the optical proximity effect in to account and enables a registration measurement error of below 0.5nm even for small dense contacts of 120nm width. ACKNOWLEDGEMENT This work has been partially funded by SEMATECH under the contract No. LITG325. The authors appreciate the valuable contributions of Mario Längle and the complete PROVE development team. REFERENCES 1. Klose, G., et al., High resolution and high precision pattern placement metrology for the 45 nm node and beyond, EMLC 2008, VDE Verlag, 233 238. 2. Klose, G., et al., PROVE: a photomask registration and overlay metrology system for the 45 nm node and beyond, Proceedings of SPIE Vol. 7028 (2008). 3. Klose, G., et al., Photomask Registration and Overlay Metrology by means of 193 nm Optics, Proceedings of SPIE Vol. 7122 (2008). 4. Huebel, A., et al., Calibration strategies for precision stages in state-of-the-art registration metrology, Proceedings of SPIE, Vol. 7379 (2009). 5. Arnz, M., et al., Monte-Carlo Simulations of Image Analysis for flexible and high-resolution Registration Metrology, Proceedings of SPIE, Vol. 7479 (2009). 6. Beyer, D., et al., In-die metrology on photomasks for low k 1 lithography, Proceedings of SPIE, Vol. 7488 (2009). 7. Beyer, D., et al., PROVE, the next generation registration metrology tool, status report, Proceedings of SPIE, Vol. 7748 (2010). 8. Arnz, M., et al, "Method and apparatus for determining the position of a structure on a carrier relative to a reference point on the carrier", US 2010/0104128A1, April 29, 2010. Proc. of SPIE Vol. 7823 78232N-9