Mask magnification at the 45-nm node and beyond

Similar documents
TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Holistic View of Lithography for Double Patterning. Skip Miller ASML

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

Update on 193nm immersion exposure tool

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Mask Technology Development in Extreme-Ultraviolet Lithography

Lithography. International SEMATECH: A Focus on the Photomask Industry

Competitive in Mainstream Products

EUVL getting ready for volume introduction

R&D Status and Key Technical and Implementation Challenges for EUV HVM

From ArF Immersion to EUV Lithography

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Lithography on the Edge

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

What s So Hard About Lithography?

Challenges of EUV masks and preliminary evaluation

Advanced Patterning Techniques for 22nm HP and beyond

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Process Optimization

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Purpose: Explain the top advanced issues and concepts in

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Optical Maskless Lithography - OML

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Optical Microlithography XXVIII

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Comparison of actinic and non-actinic inspection of programmed defect masks

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Registration performance on EUV masks using high-resolution registration metrology

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Lithography Industry Collaborations

Intel Technology Journal

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Imaging for the next decade

Scope and Limit of Lithography to the End of Moore s Law

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Improving registration metrology by correlation methods based on alias-free image simulation

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Progresses in NIL Template Fabrication Naoya Hayashi

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Progress in full field EUV lithography program at IMEC

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography

EUV Lithography Transition from Research to Commercialization

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Status and challenges of EUV Lithography

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

More on the Mask Error Enhancement Factor

EUV Substrate and Blank Inspection

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Optics for EUV Lithography

Pellicle dimensions for high NA photomasks

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Optical Maskless Lithography (OML) Project Status

Nikon EUVL Development Progress Update

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Managing Within Budget

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Immersion Lithography Micro-Objectives

Inspection of templates for imprint lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Low-Cost Nanostructure Patterning Using Step and Flash Imprint Lithography

OPC Scatterbars or Assist Features

16nm with 193nm Immersion Lithography and Double Exposure

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

MAPPER: High throughput Maskless Lithography

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Beyond Immersion Patterning Enablers for the Next Decade

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Transcription:

Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29, 2004 * On assignment from Motorola

Introduction History ISMT held workshops in 1999 and 2000 to extensively discuss mask magnification choice for the 70nm node and beyond Although some participants supported 5X and 6X to reduce mask cost, the resulting smaller field size and throughput loss made it unattractive to implement for exposure tool suppliers What has changed? Mask costs have risen faster than some expected (>40% per generation) NA > 0.9 and immersion lithography have made lens size larger than predicted At k 1 <0.4, 45-nm node mask feature dimensions at 4X are becoming comparable to the wavelength, and these features partially polarize the transmitted radiation Stage speeds and exposure tool productivity have significantly increased, permitting better throughput at smaller field size In December, ISMT organized a Mask Magnification Working Group to gather data from industry stakeholders 02/05/2004 12:15 PM ISMT Litho Forum 2004 2

Mask magnification working group Charter: Gather and present data on the tradeoffs for increasing mask magnification factor at the 45-nm node and beyond IC manufacturers and suppliers participated Kevin Cummings, ASML Giang Dao, ISMT Ginger Edwards, ISMT Gene Fuller, Nikon Greg Hughes, Dupont Photomask, Inc. Won Kim, Texas Instruments Kurt Kimmel, IBM Chris Krautschik, Intel Jongwook Kye, AMD Mike Lercel, IBM Lloyd Litt, Motorola Chris Progler, Photronics, Inc. Phil Seidel, ISMT Walt Trybula, ISMT Phil Ware, Canon John Warlaumont, IBM John Wiesner, Nikon The authors are also grateful to Carl Zeiss SMS, DNP, FEI, Hitachi, KLA- Tencor, JEOL, NuFlare Technologies, Toppan Printing and TSMC and for input. 02/05/2004 12:15 PM ISMT Litho Forum 2004 3

Key considerations in increasing mask magnification factor Effect of polarization by the mask Cost of ownership Exposure tool cost and throughput Mask cost Impact of reduced field size at higher magnification Effect on EUV and EPL Other considerations Mask equipment R&D spending Mix and match Wafer fab yield 02/05/2004 12:15 PM ISMT Litho Forum 2004 4

Mask polarizes transmitted fields when mask feature ½ pitch < wavelength Mask pattern ½ pitch < 193nm Transmission 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 TE, Scalar model TM 80 90 100 110 120 130 140 150 160 170 180 Wafer scale pitch (nm) p/4 Source: ASML 45% 40% 35% 30% 25% 20% 15% 10% 5% 0% Degree of Polarization 180nm E r TE S r Unpolarized illumination 193nm wavelength 180-nm linewidth on mask p E r TM 02/05/2004 12:15 PM ISMT Litho Forum 2004 5

Effects of polarization small until k1<0.3 Y Binary Mask 1. ArF(λ193nm), NA=1.23, n_water=1.45 2. ¾ Annular s-polarization, σ_max=0.9 3. CD ±5%, Exp ±2.5% (CD DOF) X σ_max CD_DOF(ηm) 0.4 0.35 0.3 0.25 0.2 0.15 0.1 0.05 0 Lines & Spaces NA=1.23, 3/4 Annular_2S η_max=0.9 35 40 45 50 55 60 65 70 75 80 85 k1=0.32 L/S(nm) mag4 mag5 mag6 CD_DOF(ηm) 0.2 0.18 0.16 0.14 0.12 0.1 0.08 0.06 0.04 0.02 0 Isolated Line with SRAF NA=1.23, Annular_2S, η_max=0.9 35 40 45 50 55 60 65 70 75 80 85 L/S(nm) Magnification difference makes little difference till k1=0.3. Source: Canon mag4 mag5 mag6 02/05/2004 12:15 PM ISMT Litho Forum 2004 6

DOF (nm) Near resolution limit polarization effects on imaging become significant TE vs. TM near cut-off: Pitch=130nm, k 1 =0.31 160 120 80 40 0 TE-4X TE-6X TE-8X TM-4X TM-6X TM-8X Source: Intel Example effects seen in simulations Smaller MEEF for 6% EPSM at higher magnification factor Variation in NILS and DOF in each polarization with magnification factor 40 45 50 55 60 65 70 75 80 More study is needed to determine if imaging tradeoffs with magnification factor favor a particular magnification choice Rigorous EM models will be required to accurately implement RET RET costs might rise from ~$11K-$14K per mask to ~$12-22K per mask* MEEF (threshold at CD) 3 2.8 2.6 2.4 2.2 2 1.8 1.6 1.4 1.2 1 6% EPSM: MoSiON 75nm; unpolarized Source: AMD wafer 1/2 pitch (nm) Kirchhoff, 4x TEMPEST, 4x Kirchhoff, 6x TEMPEST, 6x Kirchhoff, 8x TEMPEST, 8x * Based on RET cost model in Mark E. Mason, The real cost of RETs, Microlithography World, 1 May 2003. 02/05/2004 12:15 PM ISMT Litho Forum 2004 7

Tput reduced at higher magnification factors 500 Averaged over 9 die sizes, reticle stage limited and with stitching Size Factor (A.U.) Source: Canon 4x Wet 400 Dioptric lenses 300 Dry type 6x Wet 200 100 8x Wet 0 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 NA Throughput (arb units) 1.0 0.8 0.6 0.4 0.2 0.0 4 5 6 7 8 Magnification Source: ASML Lens diameter for conventional dioptric lenses significantly increases for NA>0.9 Lens cost may be ~15% lower at 8X than at 4X Overall tool price not significantly different at higher magnification Smaller field size for higher magnification Throughput drops significantly as magnification increases System design tradeoffs can mitigate drop somewhat Tput at 8X ~40% of Tput at 4X 02/05/2004 12:15 PM ISMT Litho Forum 2004 8

Higher mask patterning step yields would be expected at larger magnification factors Binary masks Yield at 4X Yield at 5X Yield at 6X Yield at 8X CD* 22% 28% 45% 83% CD adjusted** 40% 45% 50% 60% Placement* 85% 95% 98% 99% Unrepairable defects* 90% 95% 98% 99% Total 31% 41% 48% 59% *Data assumed yield learning curve and yield as a function of various specifications maintains same shape at 45nm as at 90nm but specification values scale by node **Adjusted CD yield values Customers will drive mask CD specs to edge of equipment capability, reducing yield enhancement at 8X over 4X Customers will not accept cost of lower yield at best CD spec, so minimum yield set at 40% CD yield might be 10% absolute higher for EUV due to smaller MEEF 02/05/2004 12:15 PM ISMT Litho Forum 2004 9

45nm node BIM at 8X 60-75% less expensive than at 4X Mask cost relative to 4X 1 0.8 0.6 0.4 0.2 0 4 4X half field 4X quarter field 5 6 8 Magnification factor Patterned area on the mask is the same for each magnification factor except for half and quarter field area cases In addition to higher step yields at higher magnification, PG and inspection tools might be ~10% less expensive at higher magnification 45nm BIM 45nm APSM 32nm BIM 32nm EUV BIM PLAB 90nm BIM ASML 45nm BIM Tput for mask writing and inspection higher assuming constant patterned area on the mask Using a 50% smaller field area at 4X lowers mask cost about as much as using 5X Using a 25% smaller field area at 4X lowers mask cost almost as much as using 8X 02/05/2004 12:15 PM ISMT Litho Forum 2004 10

Pattern generation, inspection and blanks are the largest cost components for mask fabrication Repair -clear Repair -opaque Data preparation Pellicle Final inspection Pattern Inspect 4X 45 nm BIM; 1/4 area; $40K; 45% yield 8X 45nm BIM; ; $32K; 57% yield 4X 45 nm BIM; $127K; 30% yield Higher writer price Lower CD yield Substrate Write $0 $10,000 $20,000 $30,000 $40,000 Cost of tools and consumables for process step 8X masks significantly less expensive than 4X masks due to: Lower CD yield at 4X Longer mask writing time at 4X Higher predicted writer price at 4X 02/05/2004 12:15 PM ISMT Litho Forum 2004 11

Preferred magnification based on CoO is determined by mask usage Minimum wafers per mask where 4X is less expensive 25000 20000 15000 10000 5000 0 5 6 8 4x half field size Magnification factor 4x 1/4 field size Includes RET costs and effect of critical layer magnification on Tput for noncritical layers 45nm 32nm 32nm EUV 100 WPH at 4X 32nm EUV BIM 50 WPH at 4X Results sensitive to input parameters, especially CD yield for mask fabrication 1st order sensitivity of minimum WPM to 5% 4X 1/2 field size 4X 1/4 field size decrease in: 5X 6X 8X Mask CD yield 14.8% 12.2% 11.3% 10.1% 12.2% Mask write time 1.6% 0.9% -0.3% -2.0% -0.4% Mask field area -2.2% -4.2% -4.5% -8.0% -5.0% Exposure tool price 2.1% 1.6% 4.7% 5.3% 5.3% Exposure Tput -2.2% -1.6% -4.5% -5.0% -5.0% 02/05/2004 12:15 PM ISMT Litho Forum 2004 12

Many existing die sizes compatible with 13 by 16 mm field needed for 8X Example chip sizes Length (mm) 30 25 20 15 10 4x: 26 by 32 mm 5x: 22 by 26 mm 6x: 16 by 22 mm 8x: 13 by 16 mm Most designs fit in 5X or 6X field Designs that will not fit within 13 by 16 mm field High margin MPUs DRAM development circuits 5 0 0 5 10 15 20 25 Width (mm) Source: ASML If small field is implemented, field stitching will be useful for accommodating all designs Assumes 6025 mask substrate 02/05/2004 12:15 PM ISMT Litho Forum 2004 13

9 masks not an attractive option 9 masks would make 6X or higher magnification more attractive. At 6X, 26 by 33 mm fields would fit on a 9 mask. Many mask tool and exposure tool designs can accommodate 9 masks, but few have actual hardware implemented in existing tools. Several mask equipment suppliers estimated a 20%-50%, development cost increases for their tools to handle 9 masks. No scanners are available to verify masks made on 9 substrates. 9 masks will increase mask and exposure tool cost, and they will increase the investment required by all mask industry stakeholders to upgrade equipment. 02/05/2004 12:15 PM ISMT Litho Forum 2004 14

Other field size considerations Multiple die per field increases yield in wafer fab A killer defect added to the mask only affects one die per field instead of every field with one die per field The minimum printable defect size on pellicle for an 8X mask is 4 times smaller than for a 4X mask due to DOF considerations at the mask Multiple die per field needed to inspect printed wafers for repeating mask defects With one die per field, die-to-database inspection required Die-to-database capability typically lags die-to-die capability At 5X or 6X, number of die per field and hence usable field size strongly influenced by die size* Matching with 4X non-critical layers more difficult for 5X and 6X, resulting in overlay issue and Tput reduction for non-critical levels * Lloyd Litt, Mike Kling and Terry Perkinson, Cost analysis of 4X and 6X 9 inch reticles for future lithography, SPIE volume 3873, 243-54, 1999. 02/05/2004 12:15 PM ISMT Litho Forum 2004 15

Effect of magnification on EUV and EPL 4X preferred for EPL, but 8X optical has little impact on EPL (Source: Nikon) 8X mask fabrication tools capable of 4X EPL mask fabrication due to lack of OPC on EPL masks and MEEF=1 8X masks for EPL would require more masks per field to stay on 200-mm diameter substrates EPL throughput at 4X becomes more favorable for contact layers compared to optical at 8X >4X might lower EUV CoO for WPM < ~3000 unless exposure tool Tput is much <50 WPH Design tradeoffs for EUV optics at >5X magnification factor need to be investigated. 02/05/2004 12:15 PM ISMT Litho Forum 2004 16

Summary of mask magnification tradeoffs Consideration 8X 4X Polarization by mask ~10% ~40% for <100-nm pitch Exposure tool cost Mask cost Tput Not significantly reduced Reduced 60-75% due to larger features Reduced ~60% due to smaller field RET more complicated and RET up to 40% more expensive CoO Favorable for WPM <5000 Favorable for WPM >5000 Mask equipment R&D Little change expected Maximum field size 13 by 16 mm (208 mm 2 ) Die:Database (D:DB) inspection 26 by 33 mm (858 mm 2 ) Die:Die and D:DB inspection Other Possibly more rapid development of 32nm node mask fabrication processes Some DRAM development chips and MPUs >200 mm 2 Preferred for EPL due to more achievable mask requirements Multiple die per field to reduce effect of added mask defects on yield in wafer fab 02/05/2004 12:15 PM ISMT Litho Forum 2004 17

Survey question If mask magnification choices were available for the 45nm node and smaller, what is the probability you would utilize 5x, 6x, or 8x instead of 4x in volume production? (1 means this is a very high probability and 10 means there is no probability) 02/05/2004 12:15 PM ISMT Litho Forum 2004 18

If mask magnification choices were available for the 45nm node and smaller, "What is the "probability" you would utilize 5X, 6X, or 8X instead of 4x in volume production?" Survey Responses 10 9 8 7 6 5 4 3 2 1 0 1 2 3 4 5 6 7 8 9 10 Very High Probability Probability Scale No Probability

Backup

Fields size effect on non-critical tools 1.2 Average throughput reduction non-critical levels Average relative throughput 1 0.8 0.6 0.4 0.2 0 4X, 26x33 4X, 22x33 5X, 22x26 6X, 16x22 8X, 13x16 Critical System From Kevin Cummings

Mask cost assumptions 100 masks required per week from facility Assumes intermediate and non-critical masks are fabricated on same tools to increase tool utilization Mask price = 2X predicted mask fabrication cost Tool price, Tput and step sequence values estimated for 45-nm and 32-nm nodes Moderate writer and inspection tool price reduction at 6X and 8X Throughput for writer and inspection Writer [hours/mask] = 0.75 + 2.59 /M 2 hours/cm 2 * mask field area Inspection [hours/mask] = 0.05 + 3.47e-13/M 2 /((1/2 pitch)/5) 2 hours/cm 2 * mask field area; M = magnification factor CD, placement and defect yield scale with mask field size based on ISMT 2000 models (see later slide) Step yield values as a function of specifications determined from yield curves assembled by ISMT in late 2001, which were based on industry surveys 02/05/2004 12:15 PM ISMT Litho Forum 2004 22

Example yield versus spec curves % Yield 100 90 80 70 60 50 40 30 20 10 0 CD 3-Sigma Mask Yields Year 0-1 Year 1-2 Year 2-3 0 5 10 15 20 25 30 35 40 45 50 55 CD Tolerance (nm) 02/05/2004 12:15 PM ISMT Litho Forum 2004 23

Mask step yield model for mask field size Inside Box: Technology Variations (e.g. Roadmap, MEF) Outside Box: Physical Variations (e.g. Field Size) Yield (%) 120 100 80 60 25x25mm Field CD: 90% Def: 90% IP: 93% Oth: 97% y = 0.0431x 6-0.6875x 5 + 3.8264x 4-9.3125x 3 + 8.6306x 2-3.5x + 100 R 2 = 1 5 3 YF YFCD YF YFDEF YF YFIP 40 20 Empirical Yield 6th order polynomial 0 0 1 2 3 4 5 6 7 Arbitrary Generation Field Width Y CD = ( YF = ( CD )( YF YDEF YFDEF Y IP = ( YF IP ) 4 ) ( YF ) w/ w_ baseline CD a / a _ baseline Field Area r / r _ baseline IP ) ( YF CD ) r / r _ baseline Bad Radius 02/05/2004 12:15 PM ISMT Litho Forum 2004 24

EUV mask cost modeling assumptions Binary EUV masks Yield at 4X Yield at 5X Yield at 6X Yield at 8X CD* 22% 28% 45% 83% CD adjusted*** 50% 55% 60% 70% Placement* 85% 95% 98% 99% Unrepairable defects* 90% 95% 98% 99% Total 38% 50% 58% 69% Blank price $14,700 $14,700 $14,700 $14,700 *Data assumed yield learning curve and yield as a function of various specifications maintains same shape at 45nm as at 90nm but specification values scale by node ***Adjusted CD yield values Customers will drive mask CD specs to edge of equipment capability, reducing yield enhancement at 8X over 4X Customers will not accept cost of lower yield at best CD spec, so minimum yield set at 40% CD yield might be 10% absolute higher for EUV due to smaller MEEF EUV writer Tput ~3X faster than 32-nm node BIM with MBOPC 02/05/2004 12:15 PM ISMT Litho Forum 2004 25

Wafers per mask analysis WPM = N P N T [ M M ] B B i Ai i Bi P T A A P 1 α + T α WPM = wafers per mask where lithography cost for all layers is equal for the two magnification or mask field area cases (A and B) being compared. At WPM<WPM, case B costs less. N = number of critical layers M A,Bi = mask cost for critical layer i for magnification or field area case A or B, respectively T A,B = net throughput of exposure tool for case A or B, respectively P A,B = hourly cost of exposure tool depreciation for case A or B, respectively P = hourly cost of exposure tool depreciation for exposure of all non-critical layers α = ratio of mean Tput for non-critical layers for case B divided by that of case A T = mean net throughput of exposure tools for all non-critical layers Assumes consumable costs such as for resist are the same for each case A and B 02/05/2004 12:15 PM ISMT Litho Forum 2004 26