Statistical Static Timing Analysis Technology

Similar documents
LSI Design Flow Development for Advanced Technology

Variation-Aware Design for Nanometer Generation LSI

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

DATASHEET CADENCE QRC EXTRACTION

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

Process and Environmental Variation Impacts on ASIC Timing

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Low Power Design for Systems on a Chip. Tutorial Outline

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Development Process Visualization and Project Management

High-Speed Interconnect Technology for Servers

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Summary of Fujitsu SoC Technology and Related Business

MTCMOS Post-Mask Performance Enhancement

POWER consumption has become a bottleneck in microprocessor

Low Transistor Variability The Key to Energy Efficient ICs

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM

Reducing Transistor Variability For High Performance Low Power Chips

Course Outcome of M.Tech (VLSI Design)

Microcircuit Electrical Issues

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

Interconnect-Power Dissipation in a Microprocessor

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

+1 (479)

RECENT technology trends have lead to an increase in

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Inter-Device Synchronous Control Technology for IoT Systems Using Wireless LAN Modules

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

1 Digital EE141 Integrated Circuits 2nd Introduction

BICMOS Technology and Fabrication

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

Fast Placement Optimization of Power Supply Pads

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model

VLSI Designed Low Power Based DPDT Switch

Lecture 1: Digital Systems and VLSI

Computer Logical Design Laboratory

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

New CD-SEM System for 100-nm Node Process

CMOS Process Variations: A Critical Operation Point Hypothesis

NanoFabrics: : Spatial Computing Using Molecular Electronics

40nm Node CMOS Platform UX8

Advanced Analytics for Intelligent Society

LSI and Circuit Technologies for the SX-8 Supercomputer

420 Intro to VLSI Design

Atoms and Valence Electrons

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC

Creation of New Manufacturing Diagnostic Process by Co-creation with Customer

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS

A gate sizing and transistor fingering strategy for

A new 6-T multiplexer based full-adder for low power and leakage current optimization

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Abstract. 1. VLSI Design for Yield on Chip Level (M. Bühler, J. Koehl, J. Bickford, J. Hibbeler)

Difficulty of Power Supply Voltage Scaling in Large Scale Subthreshold Logic Circuits

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

CHAPTER 1 INTRODUCTION

Lecture 1. Tinoosh Mohsenin

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Modeling the Effects of Systematic Process Variation on Circuit Performance

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

"Makimoto s Wave": The Cycle of Standardization and Customization

EE 434 Lecture 2. Basic Concepts

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

PAPER Setup Time, Hold Time and Clock-to-Q Delay Computation under Dynamic Supply Noise

Power Distribution Paths in 3-D ICs

VLSI Design I; A. Milenkovic 1

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

VLSI, MCM, and WSI: A Design Comparison

Process Variability and the SUPERAID7 Approach

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration).

ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

THE power/ground line noise due to the parasitic inductance

High-Level Synthesis

Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor

End-of-line Standard Substrates For the Characterization of organic

VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE. Girish V. Varatkar and Naresh R. Shanbhag

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Transcription:

Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations have been increased. In particular, the increase of delay variations has seriously affected the design periods and timing yields. To estimate more accurately these delay variations, statistical static timing analysis (SSTA), which considers delay variations statistically, has been proposed. SSTA is expected to shorten the design turnaround time (TAT) and predict the timing yields. Research on practical applications of SSTA has already been conducted at Fujitsu Laboratories. We have developed SSTA tools for use in designs for processors and application specific integrated circuits (ASICs) in cooperation with Fujitsu and Fujitsu VLSI. This paper describes the delay variations and basic SSTA techniques and introduces SSTA applications to Fujitsu s processor and ASIC design flows.. Introduction The scaling down of process technologies has increased the process variations. Among them, delay variations that impact the frequency performance of circuits have increased and now seriously affect the design turnaround time (TAT) and timing yields, which are the ratio of chips that achieve the target frequency. In application specific integrated circuit (ASIC) designs, sufficient margins for delay variations are required to achieve the target frequency at the expected yield. In process technologies above 90 nm, the margins for delay variations are small enough and their impact on design can be eliminated. However, at 90 nm and below, the increased delay variations enlarge the margins in circuit design. This results in overestimations of circuit delay and makes design work difficult. Because of the overestimation, designers have to tune a design iteratively to achieve the target frequency performance, which lengthens the design TAT. In high performance microprocessor designs, excessive margins make it difficult to achieve the target performance. Therefore, nominal values are used at the design phase. After a batch of chips has been fabricated, the frequency selection process sorts them into several ranks according to the measured maximum frequency. Then, the chips are priced and shipped based on their ranks. In such designs, it is important to predict the timing yield at the design phase because, at this phase, we can consider the trade offs between chip performance and yield. Statistical static timing analysis (SSTA), which analyzes circuit delays statistically by considering delay variations, is attracting our interest as a solution to the above issues. Fujitsu Laboratories started researching SSTA in 003, and has applied SSTA technologies to processor and ASIC designs in cooperation with Fujitsu Limited and Fujitsu VLSI Limited. This paper describes the delay variations caused by process variations and introduces the 56 FUJITSU Sci. Tech. J., 43,4,p.56-53(October 007)

basic SSTA techniques. It then reports on the SSTA research conducted by Fujitsu Laboratories and outlines examples of SSTA application to microprocessor and ASIC designs.. What are the delay variations? The delay variations are due to various types of process variations. Figure shows examples of the major process variations. Figure (a) shows the threshold voltage variations in transistors caused by density variations of impurities in the transistor material. The upper part of Figure (b) shows pattern variations that occurred in the lithography process. These variations are caused by light interference between adjacent patterns. The lower part of Figure (b) shows silicon surface flatness variations caused by layout pattern density variations during the chemical mechanical planarization (CMP) process. The variations described above can be classified as random variations or systematic variations. Random variations occur without regard to the locations and patterns of transistors within a chip; the variation in transistor threshold voltage, for example, is a random variation. Systematic variations, on the other hand, are related to the locations and patterns; some examples of these variations are exposure pattern variations and silicon surface flatness variations. The variations between the elements in the same chip are called within die (WID) variations, and the variations between chips in the same wafer or in different wafers are called die to die (DD) variations [Figure (c)]. As technology advances, these process variations have been improved on the manufacturing side. For example, variations of exposure patterns have been improved by using better Pattern variations Impurity density variations of transistors Design phase Manufacturing phase Gate electrode Gate insulator Silicon-surface flatness variations Oxide (a) Random variations (b) Systematic variations Within-die variations Between wafers Within wafer Die-to-die variations Between lots (c) Within-die and die-to-die variations Figure Examples of LSI process variations. FUJITSU Sci. Tech. J., 43,4,(October 007) 57

lithography exposure techniques. On the design side, one of the main techniques for assuring the expected yield has been to provide sufficient design margins. In nanometer technologies, because it will not be sufficient to reduce the process variations in the manufacturing phase, it will also be necessary to use design for manufacturing (DFM) methodologies that take process variations into consideration on the design side. As one of the DFM techniques, SSTA estimates circuit delay and frequency performance by considering delay variations statistically. 3. Basic techniques of SSTA This section describes the basic SSTA techniques. 3. What is SSTA? In the conventional design flow, static timing analysis (STA) is used to estimate the circuit delay and maximum frequency. To assure sufficient yield, STA analyzes corner cases, in which all the factors of the delay variations are at the worst case or best case corner values. In actual chips, however, the probability of all factors being at the corner values is very low. Therefore, STA estimates a delay that rarely occurs in actual processes; that is, it analyzes using excessive margins for delay variations. The main concept of SSTA is to statistically consider the random variations of WID in order to analyze circuit delay more accurately. The simplest method of statistical calculation is Monte Carlo simulation. However, the computation time of this method increases drastically according to the number of variation factors and the circuit scale. For this reason, Monte Carlo simulation is not practical for analyzing actual designs. Therefore, many researchers have studied the basic SSTA method, and many of their results have been reported, starting from about 000. ),) The basic SSTA method defines the random variations of the delay as random variables and calculates the probability density function (PDF) of circuit delay. The method saves computation time while producing results equivalent to those of Monte Carlo simulation. 3. Basic SSTA operations In SSTA processing, a circuit is expressed by a graph that represents the gates and interconnects as nodes. Traversing the graph, the PDF of the delay in each node is calculated using the statistical sum and max operations with the delay variations of the gates and interconnects as inputs. Figure shows the basic operations for two circuits with the interconnect delays ignored to simplify the calculations. Figure (a) shows a circuit with two gates connected in series, and Figure (b) shows a circuit in which two signals converge at the output pin of a gate. The delay of the series circuit in Figure (a) is calculated using a statistical sum operation. With the delay PDF of these gates denoted as f and f, the delay PDF at the end point is the statistical sum of f and f. The statistical sum is calculated using convolution integration. However, when f and f have normal distributions, a simple formula can be used. In Figure (a), when f has a normal distribution with m (average) and s (3s), and f has a normal distribution with m (average) and s (3s), f has a normal distribution with m + m (average) and s + s (3s). The value equivalent to 3s of this distribution is m + m + s + s. In this case, when this delay is calculated using the conventional STA method, the delay is the sum of the worst case values. When the worst-case values are equivalent to 3s, the delay is m + m + s + s. Therefore, the delay of the series circuit calculated with SSTA is smaller than that calculated with STA. The output delay of the multiple input gate in Figure (b) is calculated using a statistical max operation. It is generally difficult to calculate an accurate value for the statistical max. However, when the two random variables for f 58 FUJITSU Sci. Tech. J., 43,4,(October 007)

and f are independent of each other, an accurate solution can be obtained. Conversely, when f and f correlate with each other, it is difficult to obtain an accurate solution of the statistical max operation and only an approximation is possible by using the upper bound or lower bound of the PDF calculation or by using the moment matching technique. ) When f and f are independent, the result of the statistical max operation is known to have an upper bound and the value equivalent to 3s is greater than the delay calculated with STA. 3.3 Path-based and block-based SSTA The delay of an entire circuit can be analyzed by using the basic calculations shown above while traversing the graph. There are two types of graph analysis methods: path based SSTA and block based SSTA. Figure 3 (a) shows the path-based SSTA. In this method, the delay PDF of each path is calculated individually, traversing from the source to the sink of the path. The advantage of this method is that it accurately calculates the delay PDF of each path because it does not use statistical max operations to analyze sequential paths. Also, it can consider the correlations between paths easily. However, its computation time drastically increases with the circuit scale because the number of paths increases exponentially with the circuit scale. Figure 3 (b) shows the block based SSTA. In this method, all paths are analyzed simultaneously by traversing the graph, with the delay PDF of the entire circuit also being calculated at the end of the traversal. The advantage of this method is that it requires less computation time than the path based method because more than one path can be analyzed simultaneously. However, the correlations between paths must be considered for the statistical max operation when multiple paths converge at a node. Therefore, there are trade offs between accuracy and computation time. Gate Interconnect Delay PDF of gate Distribution density Delay PDF of path is statistical sum of f and f (a) Statistical sum Distribution density Delay PDF at output pin is calculated as upper bound of statistical max of d and d (b) Statistical max Figure Basic operations of SSTA. FUJITSU Sci. Tech. J., 43,4,(October 007) 59

4. SSTA research by Fujitsu Laboratories In 003, Fujitsu Laboratories started researching SSTA as a theme of DFM technology. At that time, many study results about SSTA techniques were reported, although there were no reports of an SSTA application to an actual design. Consequently, general researchers did not know about the effects and practical application problems of SSTA. We initiated research to determine the effects and problems of applying SSTA to Fujitsu processor and ASIC designs and developed the SSTA engine as a basic tool for this research. In this development, we considered it important to easily realize various SSTA techniques and incorporate these techniques into existing design flows. We therefore developed an SSTA application program interface (API) that implements the basic statistical operations described in the previous section and the path-based and block based methods. Next, in collaboration with Fujitsu Limited, we incorporated the SSTA engine as an SSTA tool for processor design and evaluated the effects of SSTA. Then, by using the technical knowledge acquired during our evaluation, we developed and evaluated an SSTA tool for ASIC design in a joint project between Fujitsu Limited and Fujitsu VLSI Limited. As a result, we clarified the effects of introducing SSTA and identified practical use problems in processor and ASIC designs. We also improved the tool for practical use, constructed a design flow, and launched a production run for chip design in the latter half of 006. 5. Applying SSTA to processor design The advantage of applying SSTA to processor design is that, because we can predict the timing yield with SSTA, we can consider the trade-off between circuit performance and timing yield during the design phase. To accurately predict the timing yield, SSTA must analyze the entire circuit. Also, the analysis must be completed within a practical amount of time. For these reasons, the SSTA tool for processor design uses the block based SSTA method. The SSTA tool can statistically handle DD variations as well as WID random variations, so the timing yield can be predicted more accurately. Figure 4 shows the SSTA flow used by the tool to predict the timing yield of manufactured processors. 3) In this flow, we predict manually the WID random Individual analysis of paths from S to E Simultaneous analysis of all paths from S to E Path delay PDF Circuit delay PDF Scan direction (a) Path-based SSTA (b) Block-based SSTA Figure 3 Path-based and block-based SSTA. 50 FUJITSU Sci. Tech. J., 43,4,(October 007)

variations of the gates and interconnects that are inputs of the SSTA tool using the manufacturing data of older generation technologies. For the DD variations, we use the standard values of the existing technology of the target circuit because we do not know the actual values at the introduction of a new technology. However, once the manufacturing conditions are fixed and the operating frequencies of the chips ring oscillators can be measured, we use the values of the DD variations from the variations of the measured frequencies of the ring oscillators. Also, once we obtain the results of frequency selection, we can feed them back to the flow and correct the difference between the timing yields acquired by frequency selection and the SSTA predicted values. As the manufacturing proceeds, the feedback operations conducted after manufacturing produce a system that improves the accuracy of prediction. For example, for one particular design, we confirmed that the yield errors were within 0%. 6. Applying SSTA to ASIC design ASIC design uses SSTA for timing sign off, which is done at the last stage of timing verification in the design flow to confirm that the circuit frequency acquired by timing analysis flow is within the target frequency. In timing sign-off, all paths must satisfy their timing constraints. Therefore, our SSTA tool uses a path based algorithm that can accurately calculate path delay. We also devised a new technique for alleviating the timing constraint of each path as compared with the conventional SSTA technique and incorporated it into the SSTA tool. 4) To apply our SSTA tools to Fujitsu s design flow, the following two conditions must be satisfied: ) If the STA check results are replaced by the SSTA check results, a yield equivalent to the conventional yield must be assured. ) The designer s workload must be minimized. First, to assure a yield equivalent to the conventional yield, we must improve the accuracy of the SSTA tool to prevent the estimation of optimistic delays. Errors in the outputs of our SSTA tool are unavoidable because of the Within-die variations Predicted timing yield Between lots Between wafers Within wafer Die-to-die variations Variation quantity correction SSTA Yield Error evaluation and feedback to SSTA Actual timing yield Frequency Measured values Manufactured chips Frequency selection Yield Frequency Figure 4 SSTA flow for processor design. FUJITSU Sci. Tech. J., 43,4,(October 007) 5

errors in the models, algorithms, and inputs. Especially, input gate delay variations are difficult to accurately estimate because some of the factors of the delay variations are difficult to analyze. Therefore, our SSTA tool only extracts random variations among the gate delay variations and processes them statistically. Regarding the other delay variation factors, the SSTA tool uses the worst case conditions in the same way as the conventional STA. Therefore, the risks of optimistic delay estimations are avoided and yields at least as good as those of the conventional flow are assured. Secondly, to minimize the designer s workload, the additional load due to statistical information handling and the additional time due to SSTA processing must be reduced. Therefore, we propose that the SSTA tool analyzes only the critical paths that are detected by the conventional STA tool. Figure 5 compares this new design flow with the conventional design flow. If the sign off processing using the conventional STA tool indicates the NG state, the developed SSTA tool is used for postprocessing. The critical path list detected by the STA tool and the delay variation information are both input to the SSTA tool. The SSTA results are added to the input critical path list, which makes it easier for the designer to confirm the SSTA results. In this SSTA design flow, the SSTA tool analyzes only the critical paths, which prevents an increase in processing time. Moreover, we adopted a yield assurance check technique 5) that determines how many critical paths must be analyzed to assure the expected timing yield. Therefore, a timing yield equivalent to the conventional yield can be assured using this SSTA design flow. Figure 6 shows an example of applying this SSTA design flow to a 90 nm technology circuit. The graph shows the timing yield distribution calculated from the circuit delay probability distribution using SSTA. The frequency equivalent to a yield of 3s is MHz. Compared with the conventional STA estimation frequency of 09 MHz, this SSTA estimation frequency is an improvement of about 5.7%. This frequency improvement reduces the time needed for timing optimization: whereas the conventional design flow for this circuit took one month, the new design flow only took 0 days. Therefore, the SSTA design flow can reduce the design TAT. Physical design Conventional design flow NG STA Timing yield distribution 00 OK NG Sign-off? NG SSTA Sign-off? OK Tape-out Critical path list Variation information SSTA design flow Yield (%) 80 60 40 0 0 STA-calculated results: 09 MHz 0 SSTA-calculated results: MHz 0 Frequency (MHz) 30 40 Figure 5 SSTA and conventional ASIC design flows. Figure 6 Results of design flow applied to ASIC design. 5 FUJITSU Sci. Tech. J., 43,4,(October 007)

7. Conclusion This paper described the basic techniques of SSTA and its application to solve problems that occur due to increases in delay variations. It also described the use and effectiveness of SSTA in the design of Fujitsu processors and ASICs. In the future, we will improve the correlation with actual yields by adding more examples of applying SSTA to processor and ASIC designs and provide solutions for improving design efficiency by feeding back SSTA results. Several vendors have released SSTA tools since late 006. In the future, we will be able to quickly apply these tools to Fujitsu s design flows by using the technical knowledge acquired from our SSTA applications. References ) S. Tsukiyama: Statistical Timing Analysis: A Survey. (in Japanese), The 8 th Workshop on Circuits and Systems in Karuizawa, 005, p.533-538. ) A. Srivastava et al.: Statistical Analysis and Optimization for VLSI: Timing and Power. Springer, 005. 3) H. Komatsu et al.: Statistical Timing Analysis and its Application to Microprocessor Design. (in Japanese), IPSJ Symposium Series, Vol. 006, No.7, p.-6. 4) I. Nitta et al.: A Study of the Model and the Accuracy of Statistical Timing Analysis. (in Japanese), IEICE Technical Report, VLD 005-7, 05, 44, p.6-66 (005). 5) K. Homma, et al.: A Study of the Execution Path Number and the Accuracy of Path Based Statistical Timing Analysis. (in Japanese) IEICE Technical Report, CPSY005-74, 05, 669, p.6-66 (006). Izumi Nitta, Fujitsu Laboratories Ltd. Ms. Nitta joined Fujitsu Laboratories Ltd., Kawasaki, Japan in 99, where she has been engaged in research and development of VLSI physical design analysis and optimization. She is a member of the Information Processing Society (IPSJ) of Japan. Toshiyuki Shibuya, F u j i t s u Laboratories Ltd. Mr. Shibuya joined Fujitsu Laboratories Ltd., Kawasaki, in 985, where he has been engaged in research and development of VLSI physical design analysis and optimization, and parallel computing. He is a member of the Institute of Electronics, Information and Communication Engineers (IEICE) of Japan. Katsumi Homma, Fujitsu Laboratories Ltd. Mr. Homma received B.S. degree in Physics from Hirosaki University in 985 and M.S. degree in Mathematics from Niigata University in 988, respectively. He joined Fujitsu Ltd. in 99 and moved to Fujitsu Laboratories in 994. His current research interest is VLSI physical design and optimization. He is a member of the Institute of Electronics, Information and Communication Engineers (IEICE) of Japan. FUJITSU Sci. Tech. J., 43,4,(October 007) 53