DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

Similar documents
Low-Power Digital CMOS Design: A Survey

Low Power Design for Systems on a Chip. Tutorial Outline

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications

Low-Power CMOS VLSI Design

MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING

Applying pinwheel scheduling and compiler profiling for power-aware real-time scheduling

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

Noise Analysis of Phase Locked Loops

Low Power Design of Successive Approximation Registers

Power Control Optimization of Code Division Multiple Access (CDMA) Systems Using the Knowledge of Battery Capacity Of the Mobile.

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Design and Analysis of a Second Order Phase Locked Loops (PLLs)

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Data Word Length Reduction for Low-Power DSP Software

Power Spring /7/05 L11 Power 1

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Experimental Evaluation of the MSP430 Microcontroller Power Requirements

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

Hybrid Dynamic Thermal Management Based on Statistical Characteristics of Multimedia Applications

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

Dynamic Power Management in Embedded Systems

Bus-Switch Encoding for Power Optimization of Address Bus

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Contents 1 Introduction 2 MOS Fabrication Technology

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Low Power Design in VLSI

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

Design & Analysis of Low Power Full Adder

Design Issues for Dynamic Voltage Scaling

Power-conscious High Level Synthesis Using Loop Folding

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Hardware Platforms and Sensors

Techniques for Energy-Efficient Communication Pipeline Design

Chapter 1 Introduction

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

The challenges of low power design Karen Yorav

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Energy-Recovery CMOS Design

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Real-Time Task Scheduling for a Variable Voltage Processor

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz

An Analog Phase-Locked Loop

Leakage Current Analysis

Optimization of power in different circuits using MTCMOS Technique

A Dynamic Voltage Scaling Algorithm for Dynamic Workloads

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Dynamic Voltage Scaling and Power Management for Portable Systems

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network

UNIT-III POWER ESTIMATION AND ANALYSIS

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Laboratory Design Project: PWM DC Motor Speed Control

Implementation of dual stack technique for reducing leakage and dynamic power

Low Power Adiabatic Logic Design

Lecture 13 CMOS Power Dissipation

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DC/DC-Converters in Parallel Operation with Digital Load Distribution Control

A Survey of the Low Power Design Techniques at the Circuit Level

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

University of California at Berkeley Donald A. Glaser Physics 111A Instrumentation Laboratory

Short-Circuit Power Reduction by Using High-Threshold Transistors

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Optimization of Digitally Controlled Oscillator with Low Power

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

INTEGRATED CIRCUITS. AN120 An overview of switched-mode power supplies Dec

Power Conscious Fixed Priority Scheduling for Hard Real-Time Systems

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter

Low Power Techniques for SoC Design: basic concepts and techniques

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

UNIT-1 Fundamentals of Low Power VLSI Design

Digital PWM IC Control Technology and Issues

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

Lecture 7 ECEN 4517/5517

Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR Gates

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

ISSN: International Journal of Engineering and Innovative Technology (IJEIT) Volume 1, Issue 2, February 2012

Transcription:

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@engineer.com e-mail: ibrahimm@itu.edu.tr e-mail: ibrahim_hamarash@yahoo.com University of Salahaddin-Hawler, College of Engineering, Department of Electrical Engineering, Erbil, Iraq Key words: Power and energy reduction, Dynamic voltage frequency scaling, ABSTRACT This paper presents a methodology for power and energy reduction in general purpose microprocessors, which is known as dynamic voltage frequency scaling (DVFS). The DVFS technique can be considered as an effective mechanism for reducing processor power and energy. In the last decade a lot of works have been done during the hardware and software implementation. In this paper a proposed control loop of DVFS technique has been introduced. SPICE simulation program results confirm the theory. I. INTRODUCTION In recent years the processors speed reaches Gigahertz [1], so the power dissipation increases rapidly in a level of the order of ten of Watts and it becomes an important consideration in the design of microprocessors, especially battery-powered portable systems, and emerges as a key technology in the VLSI system design [2]. Processors consume a large portion of energy around 50% of the overall consumed energy of computer systems [3]. Today most digital circuits are constructed using CMOS circuits [4], especially processors, therefore the analysis of power dissipation in CMOS circuits is essential to find out the relation between power, supply voltage, and clock frequency. The power dissipation for CMOS circuits is the summation of dynamic power, static power and short circuit power. These components of power dissipation is as shown in Figure 1 are because of [5], P dynamic which is due to charging and discharging capacitors (1). P static which is due to reverse biased diodes (2). P shortcircuit which is due to switching direct path between V dd -GND (3). Mathematically, P cmos = P dynamic + P static + P shortcircuit (1) The dynamic power is the main portion of the CMOS power dissipation [5]. It can be expressed as: P dynmaic α C L V dd 2 f clk (2) Where C L is the collective switching capacitance, V dd is the supply voltage, and f clk is the clock frequency. Figure 1. Power dissipation for a simple CMOS inverter The high power dissipation of a processor has at least the following disadvantages: High power systems tend to run hot, that causes the processor and other system components to fail. The failure rate of a processor are doubles every 10 o C increase [5]. It complicates the cooling solutions of integrated circuits for heat removal, and thus increase the production cost. Intel estimates that more than 1$/W per processor chip will be added once the processor power dissipation exceeds 35-40 W [6]. It increases the operation costs; such as the electricity bills for air conditioning of the computer and system rooms. 8% of US electricity in 1998 was attributed to the internet, growing to about 30% by 2020 [7]. It shortens the battery or UPS life. The processor power doubles every four years, consequently the average battery or UPS life will be shortened [8].

It endangers the human body. Current high performance processors consume around 70-100W [7]. The major processor manufactures (Intel) has announced that the processor power dissipation doubles every four years [7], therefore dynamic voltage frequency scaling technique, by lowering the supply voltage, is effective in reducing power dissipation. Lowering the supply voltage restricts the operating frequency accordingly because, Using variable Vdd When the clock frequency f clk is reduced by half, this lowers the processor s power consumption and still allows task to complete by deadline, the energy consumption remains the same. Reducing the voltage level V dd by half reduces the power level further without any corresponding increase in execution time. As a result the energy consumption is reduced significantly, but the appropriate performance is remained [10]. This is shown in Figure 3: (f clk α (V dd - V t ) 2 / V dd ) (3) Where V t is the CMOS threshold voltage. Meaning that changes in frequency are accompanied by appropriate adjustment in voltage. The energy consumption of a program can be reduced by: reducing the number of operation performed, reducing the switching capacitance of each operation, or by reducing the voltage at which these operations are performed [9]. There has been a significant amount of research relating to hardware support for dynamic voltage frequency scaling. T. Burd presented a voltage scaling hardware loop [2]. Tiware et. al. presented a hardware technique for shutting down unused hardware modules [6]. Throughout this paper a new dynamic voltage frequency scaling (DVFS) control loop is presented which has a high performance due to its accuracy in progress. II. ANALYSIS OF DVFS TECHNIQUE Dynamic voltage frequency scaling (DVFS) is accepted as a technique to reduce power and energy consumption of microprocessors [7]. Lowering only the operating frequency f clk can reduce the power consumption but the energy consumption remains the same because the computation needs more time to finish. Lowering the supply voltage V dd can reduce a significant amount of energy because of the quadratic relation between power and V dd as given in Equation 2. Lowering the supply voltage and operating frequency reduces the power and energy consumption further. Figure 2 shows the power saving achievable by using variable V dd. Figure 3. Energy consumption vs. power consumption for a task, which is ready at 0 and complete at T, with maximum clock frequency f clk There are three key components for implementing DVFS technique in processors [7,10]: 1. An operating system which intelligently vary the processor speed. 2. A control loop which generates the voltage required for the desired speed. 3. A microprocessor which operates over a range of voltages. The relationship between these three components is shown in Figure 4. Figure 2. Power saving achievable by Figure 4. DVFS required components

III. WORKLOAD PREDICTION To perform this multi-speed functionality of a processor the modern operating system will intelligently vary the processor speed by predict and estimate the future workload of the processor and convert it to a digital word (f des ) and save it into a register, whose value is then used by the control loop to adjust the processor clock frequency (f clk) with the voltage level (V dd )[11]. Figure 5, shows a typical workload pattern with a sequence of tasks and deadlines between the tasks. By scaling down the voltage, each task is extended into the idle time after it, as shown in Figure 6: Figure 5. A typical workload pattern with tasks and idle time between tasks. IV. THE PROPOSED DVFS CONTROL LOOP A control loop, shown in Figure 8 is proposed in this paper to carry out the appropriate voltage via the frequency. The operation of this control loop is depending on the difference between the clock frequency f clk from VCO and f des from the operating system predictor, where the output of the VCO, f clk, clocks a counter which is reset at 1MHz intervals. This provides a digital word f meas, and it saved in a register. This value is subtracted from the desired clock frequency f des (which is predicted by the operating system as a digital word and saved in a register) to generate an error frequency value, f err, and is saved in another register. This register has to have an additional bit than the other registers to indicate the sign. This error word will be converted to voltage levels via a digital circuit. The voltage levels are converted to a DC voltage by digital to analog converter (DAC) to be used by a comparator to generate a PWM pulses and then to drive the DC-DC converter. Figure 6. A typical workload pattern with DVFS technique A processor usually goes to sleep as a result of certain special instruction, and then it is woken up by certain interrupts, this cause producing idle intervals between the tasks [11]. Therefore, work load of the processor usually consists of sequence of tasks and idles between tasks. By scaling down the voltage and frequency each task is extended into the idle time after it. As long as the tasks do not overlap, the dynamic voltage frequency scaling (DVFS) technique is guaranteed to be correct. Before design the DVFS technique it is essential to model the workload. The concept of an event makes partitioning the workload to be possible. Two parameters, α and β as shown in figure 7, are used to describe an event; both in the unit of time, α measures the length of an event and β measures the length of an event plus idle time before the next event starts. It follows that utilization can be determined by dividing α by β. For example if utilization is 50 percent, it means that this particular event has the potential to be scaled down by a factor of two [11,12]. Figure 7: Modelling the workload with DVFS (ideal case) Figure 8. A proposed DVFS control loop The feed back loop sets V dd to make f err zero The DC-DC converter converts the output DC voltage to a level depending on the incoming pulses from PWM in the control loop. The VCO (ring oscillator) converts the output of the DC-DC converter to a clock frequency. The DC-DC converter output with the generated clock frequency is fed to the processor. The proposed DVFS control loop has been simulated Using Pspice simulator program. The following waveforms are obtained. For f clk =500 MHz and f des =300MHz, the input and output voltages of PWM, DC-DC converter, and VCO signals are shown in the Figure 9,10, and 11respectively. Also, for f clk =300 MHz and f des =500MHz, the input and output voltages of PWM, DC-DC converter, and VCO signals are shown in the Figure 12,13, and 14 respectively.

Figure 9. The PWM input (V1), output (V2), and sawtooth signal (V3) for f clk =500 MHz and f des =300MHz. Figure 12. The PWM input (V1), output (V2), and sawtooth signal (V3) for f clk =300 MHz and f des =500MHz Figure 10. The DC-DC converter output voltage (V4) for f clk =500 MHz and f des =300MHz Figure 13. The DC-DC converter output voltage (V4) for f clk =300 MHz and f des =500MHz Figure 11. The VCO output signal for f clk =500 MHz and f des =300MHz Figure 14. The VCO output signal for f clk =300 MHz and f des =500MH

V. CONCLUSION The proposed dynamic voltage frequency scaling (DVFS) loop, which is introduced throughout this work, is to vary or set the supply voltage V dd and operating frequency f clk according to the desired frequency f des which is predicted via the operating system and speed control circuit. The DVFS proposed loop has a high performance due to accuracy in progress, and can significantly improve processor energy efficiency especially for general purpose microprocessors, multimedia interface systems, and battery or UPS powered electronic devices. The presented technique can decreases the processors average energy consumption at runtime depending on the applications and the limit of the supply voltage V dd. Therefore, this proposed DVFS technique can be considered as a critical constraint for the current and future processor s performance. 10. N. Tuaycharoen, RTOS-Based Dynamic Voltage Scaling, Master Thesis University of Maryland, 2003. 11. K. Choi, K. Dantu, W. Chung Cheng, and M. Pedram Frame-Based Dynamic Voltage and Frequency Scaling for a MPEG Decoder, from Boston- University. http://hulk.bu.edu/pubs/software.html#system-player 12. H. So, and A. Woo, A Simple Energy Saving Scheme on PDA s Using Hardware Scheduled DVS, University of California, Berkeley, Department of Computer Science. http://www.cs.berkeley.edu/~awoo/cs252/report.html REFERENCES 1. A. Azevedo, I. Issenin, R. Cornea, R. Gupta, N. Dutt, A. Veidenbaum, A. Nicolau, Profile-based Dynamic Voltage Scheduling using Program Checkpoints, In Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2002. 2. T. D. Bord, Energy-Efficient Processor System Design, Ph. D. Dissertation, University of California, Berkeley, USA, 2001. 3. T. Pering, T. Burd, and R. Brodersen, Dynamic Voltage Scaling and the Design of a Low-Power Microprocessor System, University of California Berkeley, Electronics Research Laboratory. http://infopad.eecs.berkeley.edu/~pering/lpsw 4. I. Hong, D. Kirovski, G. Qu, M. Potkonjak, and M. B. Srivastava, Power Optimization of Variable- Voltage Core-Based Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.18, No.12, Pages 1702-1714, 1999. 5. O. Ergin, Circuit Techniques for Power-Aware Microprocessors, Master Thesis, The State University of New York, USA, 2003. 6. V. Tiwari, D. Singh, S. Rajgopal, G. Mehta, R. Patel, and F. Baez, Reducing Power in High-performance Microprocessors, In Proceedings of the 35th Conference on Design Automation, ACM, USA, June 1998. 7. C. H. Hsu, Compiler-Directed Dynamic Voltage and Frequency Scaling for CPU Power and Energy Reduction, Ph. D. Dissertation, the State University of New Jersey, USA, 2003. 8. A. P. Chandrakasan, Low-Power CMOS digital Design, IEEE Journal of Solid-State Circuits, Vol.27, No.4, Pages 473-484, April 1992. 9. R. Gonzalez and M. Horowitz, Energy Dissipation In General Purpose Microprocessors, IEEE Journal of Solid-State Circuits, Vol.31, No.9, pages 1277-1284, 1996.