EECS 427 Lecture 22: Low and Multiple-Vdd Design

Similar documents
EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Power Spring /7/05 L11 Power 1

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

A Survey of the Low Power Design Techniques at the Circuit Level

A Dual-V DD Low Power FPGA Architecture

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance

The challenges of low power design Karen Yorav

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates

Leakage Power Minimization in Deep-Submicron CMOS circuits

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

Low-Power Digital CMOS Design: A Survey

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

EE434 ASIC & Digital Systems

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Energy-Recovery CMOS Design

Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design

Lecture 9: Clocking for High Performance Processors

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

Chapter 4 Optimizing Design Time Circuit-Level Techniques

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Low Power Design in VLSI

19. Design for Low Power

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2

EEC 118 Lecture #12: Dynamic Logic

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

EECS150 - Digital Design Lecture 2 - CMOS

DESIGNING powerful and versatile computing systems is

Digital Integrated CircuitDesign

Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Lecture 11: Clocking

Digital Design and System Implementation. Overview of Physical Implementations

POWER GATING. Power-gating parameters

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power Techniques for SoC Design: basic concepts and techniques

CMOS circuits and technology limits

Lecture 19: Design for Skew

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

Design Of Level Shifter By Using Multi Supply Voltage

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

Incorporating Variability into Design

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

Low Power Design of Successive Approximation Registers

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

Optimization of power in different circuits using MTCMOS Technique

Lecture 9: Cell Design Issues

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta

An Efficient D-Flip Flop using Current Mode Signaling Scheme

IN ORDER to meet the constant demand for performance

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Low-Power CMOS VLSI Design

Lecture 13 CMOS Power Dissipation

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

Design of Low Power Vlsi Circuits Using Cascode Logic Style

12 BIT ACCUMULATOR FOR DDS

A Static Power Model for Architects

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

ECE380 Digital Logic

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

ΙΑΛΕΞΗ 11: Low Power Architectures

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

First Optional Homework Problem Set for Engineering 1630, Fall 2014

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Contents 1 Introduction 2 MOS Fabrication Technology

Low Power Register Design with Integration Clock Gating and Power Gating

Operational Amplifiers Part I of VI What Does Rail-to-Rail Input Really Mean? by Bonnie C. Baker Microchip Technology, Inc.

Comparison of Power Dissipation in inverter using SVL Techniques

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

IJMIE Volume 2, Issue 3 ISSN:

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

An Energy-Efficient Noise-Tolerant Dynamic Circuit Technique

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Transcription:

EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1

Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS 427 W07 Lecture 22 2

Lecture Overview Low Vdd design Pipelining Parallel Multiple Vdd design Concept Level converter topologies Dual-Vdd buffer design for global wires EECS 427 W07 Lecture 22 3

Power and Energy Design Space Constant Throughput/Latency Variable Throughput/Latency Energy Design Time Non-active Modules Run Time Active Logic Design Reduced V dd Sizing Multi-V dd Clock Gating DFS, DVS (Dynamic Freq, Voltage Scaling) Sleep Transistors Leakage + Multi-V T + Variable V Variable V T T EECS 427 W07 Lecture 22 4

Architecture Tradeoff for Fixed-rate Processing Reference Datapath EECS 427 W07 Lecture 22 5

Parallel Datapath EECS 427 W07 Lecture 22 6

Pipelined Datapath EECS 427 W07 Lecture 22 7

A Simple Datapath: Summary EECS 427 W07 Lecture 22 8

How Low a Voltage can be Used? EECS 427 W07 Lecture 22 9

Power and Energy Design Space Revisited Constant Throughput/Latency Variable Throughput/Latency Energy Design Time Non-active Modules Run Time Active Logic Design Reduced V dd Sizing Multi-V dd Clock Gating DFS, DVS (Dynamic Freq, Voltage Scaling) Leakage + Multi-V T Multi-V dd Sleep Transistors + Variable V T Variable V T EECS 427 W07 Lecture 22 10

Supply Voltage Scaling How to maintain throughput under reduced supply? Introducing more parallelism/pipelining Area increase cost increases Cost/power tradeoff Multiple voltage domains Separate supply voltages for different blocks Lower VDD for slower blocks Cost of DC-DC converters or additional off-chip supplies, distributing multiple power supplies on-chip Dynamic voltage scaling with variable throughput Reduce V th to improve speed Exponentially increased leakage eventually dominates EECS 427 W07 Lecture 22 11

t p(normalized) 5.5 5 4.5 4 3.5 3 2.5 2 1.5 1 Delay as a Function of V DD 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 V DD (V) C L * V dd I Decreasing V DD reduces dynamic energy consumption quadratically But increases gate delay (decreases performance) Determine critical path(s) at design time & use high V DD for transistors on those paths for speed. Use lower V DD on other gates EECS 427 W07 Lecture 22 12 T d = I ~ (V dd -V t ) 1.3 T d(vdd=1.5)= (1.5) * (2.5-0.4) 1.3 Td(Vdd=2.5) 1.4 (2.5) * (1.5-0.4) 1.3

CMOS Circuits Track Over V DD 1.0 Normalized max. f CLK 0.5 Inverter RingOsc RegFile SRAM 0 VT 2V T 3V T 4V T V DD Delay tracks within +/- 10% EECS 427 W07 Lecture 22 13 Burd ISSCC 00

Changing V dd and V th Together Vdd=1.3V Vth = 0.39V Vdd=0.62V Vth = 0.11V Contours of constant delay show that reductions in V th must accompany smaller V dd s to maintain speed EECS 427 W07 Lecture 22 14

Multiple V DD Considerations How many V DD? 2 is becoming more popular Many chips already have 2 supplies (1 for core and 1 for I/O) When combining multiple supplies, level converters are required when a module at lower supply drives gate at higher supply (step-up) If a gate supplied with V DDL drives a gate at V DDH, PMOS never turns off Cross-coupled PMOS transistors V perform the level conversion DDH NMOS transistors operate at reduced supply Level converters are not needed for step-down changes in voltage V in V DDL V out Overhead of level converters can be reduced by converting at register boundaries & embedding level conversion inside the flop Irwin/Narayanan EECS 427 W07 Lecture 22 15

Multiple Vdd Design Conventional Design CVS Structure Level-converting F/F Critical Path Critical Path Lower V DD portion is shaded Clustered voltage scaling EECS 427 W07 Lecture 22 16 M.Takahashi, ISSCC 98.

Level converting flip flops Needed to restore the input to the next pipeline to V H EECS 427 W07 Lecture 22 17 Takahashi et. al JSSC 1998

Effect of CVS on path distribution Shift the histogram towards the right EECS 427 W07 Lecture 22 18 Takahashi et. al JSSC 1998

Delay Penalty Significant delay penalty Swing voltage unchanged (Linear effect) Drive voltage shrinks (Quadratic effect) EECS 427 W07 Lecture 22 19 Takahashi et. al JSSC 1998

Power dissipation dependence on V L Setting V L too low results in less paths with low V dd assignments EECS 427 W07 Lecture 22 20 Takahashi et. al JSSC 1998

ECVS No longer constrained to a monotonic voltage profile from input to output. Requires a level-converter to restore a higher voltage Level converting buffers Level converting gates Level conversion is therefore not restricted to latches EECS 427 W07 Lecture 22 21 Usami et. al JSSC 1998

ECVS allows more paths to be assigned to V L Allows delay balancing through voltage assignment Must pay delay and power penalty in performing every level conversion (Small clusters may not be worthwhile) Algorithms used for concurrent sizing-voltage assignment EECS 427 W07 Lecture 22 22

Optimal choice for V L The choice for V L depends on the delay histogram with single V DD. Choosing too large a V L nullifies the effects of lower power dissipation. Choosing too low a V L results in too few paths being assigned to V L. EECS 427 W07 Lecture 22 23 Usami et. al JSSC 1998

Existing Level Converters DCVS Pass gate (PG) * = low-vth candidate DCVS Higher power dissipation due to greater contention and higher transistor count PG Simpler design, faster, lower power than DCVS, critical path is falling input (and output) Key: Purpose of M1 EECS 427 W07 Lecture 22 24

Alternate LC 1 : STR1 STR1 Known high-performance design technique, with much improved results in this application space Keeper M4 from PG split into M4 and M5 Reduced loading on node N and reduced contention EECS 427 W07 Lecture 22 25

Alternate LCs : STR2, 3 and 4 STR2 STR3 STR4 INV and M6 added to turn off feedback path faster and speed up critical path of the circuit EECS 427 W07 Lecture 22 26

Alternate LC 5 : STR5 STR5 Raised gate voltage on pass transistor boosts performance Leakage current I_reverse creates tradeoff between power and speed EECS 427 W07 Lecture 22 27

Simulation Results Low VDDL/High VTH STR1,..,4 consume about 40-50% less energy STR1 about 3-4% faster than DCVS and PG STR2, 3 also slightly faster Low VDDL/Low VTH STR1 consumes 37% and 15% lower energy than DCVS and PG respectively High VDDL STR1 consumes 40% and 15% less energy than DCVS and PG respectively STR1 and 4 faster than DCVS and PG Energy [fj] 130 140 150 160 170 180 190 200 EECS 427 W07 Lecture 22 28 32 30 28 26 24 22 20 18 16 14 12 [VDDL = 0.6V, VTHLN = 0.23V, VTHLP = -0.21V] Delay [ps] DCVS PG STR 1 STR 2 STR 3 STR 4 STR 5

Summary Use of 2 Vdd s on a chip is growing Brings up level conversion, layout, power distribution issues Fast, energy efficient level converter topologies are critical to maximize dual- Vdd benefit What else can you do with 2 supplies available? EECS 427 W07 Lecture 22 29