ASICs Concept to Product

Similar documents
EC 1354-Principles of VLSI Design

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

The Physical Design of Long Time Delay-chip

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

A Survey of the Low Power Design Techniques at the Circuit Level

STM RH-ASIC capability

Academic Course Description

Academic Course Description. BEC702 Digital CMOS VLSI

Low Power Design Methods: Design Flows and Kits

(VE2: Verilog HDL) Software Development & Education Center

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

ASIC Computer-Aided Design Flow ELEC 5250/6250

A Novel Low-Power Scan Design Technique Using Supply Gating

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

Chapter 1 Introduction to VLSI Testing

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency.

Testing Digital Systems II

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Policy-Based RTL Design

Lecture 1: Digital Systems and VLSI

UNIT-III POWER ESTIMATION AND ANALYSIS

2.5D & 3D Package Signal Integrity A Paradigm Shift

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

EE 434 Lecture 2. Basic Concepts

Lecture #2 Solving the Interconnect Problems in VLSI

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

Course Outcome of M.Tech (VLSI Design)

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

Lecture Perspectives. Administrivia

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

Datorstödd Elektronikkonstruktion

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

PE713 FPGA Based System Design

EE 434 ASIC & Digital Systems

Introduction to CMC 3D Test Chip Project

Mixed Signal Virtual Components COLINE, a case study

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

CS 6135 VLSI Physical Design Automation Fall 2003

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Getting to Work with OpenPiton. Princeton University. OpenPit

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

TRUE SYNTHESIZABLE CRITICALPATH AND FALSE PATH FILTERING USING ATPG

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Lecture 1. Tinoosh Mohsenin

Design Technology Challenges in the Sub-100 Nanometer Era

SpringerBriefs in Electrical and Computer Engineering

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

CMOS Test and Evaluation

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

FPGA Based System Design

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Introduction to Electronic Design Automation

GRAPHIC ERA UNIVERSITY DEHRADUN

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

SPIRO SOLUTIONS PVT LTD

Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Land Grid Array (LGA) Low Inductance Capacitor Advantages in Military and Aerospace Applications

The backend duplication method

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Keysight Technologies Understanding the SystemVue To ADS Simulation Bridge. Application Note

VLSI testing Introduction

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

EE 280 Introduction to Digital Logic Design

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof.

Lecture 4&5 CMOS Circuits

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design

Generation of Digital System Test Patterns Based on VHDL Simulations

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

Computer Aided Design of Electronics

Leakage Power Minimization in Deep-Submicron CMOS circuits

Exploring the Basics of AC Scan

Digital Systems Design

DesignCon Impedance Matching Techniques for VLSI Packaging. Brock J. LaMeres, Agilent Technologies, Inc. Rajesh Garg, Texas A&M University

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

Keysight Technologies Boundary Scan DFT Guidelines for Good Chain Integrity and Test Coverage. Application Note

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

Interconnect Delay Compensation in Timing Analysis for. Designs Containing Multiple Voltage Domains

DATASHEET CADENCE QRC EXTRACTION

Timing Issues in FPGA Synchronous Circuit Design

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Chapter 3 Chip Planning

A European Perspective for Electronic Industry in Latin America

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

PULSE CONTROLLED INVERTER

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Transcription:

ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects also hold true for general IC design, this course will also greatly benefit an IC Design Engineer. Application Specific Integrated Circuit (ASIC) is a major topic of interest in the highly competitive field of VLSI circuits where each industry player tries to outdo the other by introducing a niche and differentiated product ahead of the competition. While products assembled from off-the-shelf components are faster to reach the market, they ride on an already existing product wave. With ASICs, however, one can be the forerunner and tap into the initial and major chunk of the market window grossing high revenues. The exclusive design rights also provide an added advantage. This course will provide a basic understanding & a holistic view of the complete ASIC design flow. It will enable the participant to understand the basics involved in various phases of ASIC design with an appreciation of the links and dependencies across them. Industry insights shared in this training help the participant appreciate the ASIC design flow in a real working world. The course is structured into modules. Short interactive workshops within the course facilitate in making this an interesting, interactive learning experience. Participants will be exposed to issues cited from real industry experience. This course will be delivered by a senior VLSI consultant with extensive industry experience in supporting & managing ASIC projects on a global scale. What You Will Learn After going through this training program, the participant would have learnt - Different aspects and phases of the complete ASIC design flow - These include Basics of an ASIC design library Logic simulation and synthesis Floor plan, Place & Route, Design for Manufacturability Design Verification, Design for Testability Low power design methodologies Insight into ASIC technology and market trends - Dependencies and links across various design phases this, in turn, will facilitate him in comprehending how decisions made in one phase affects the other - Be ready to handle details of each design phase Who Should Attend - EEE engineers who would like to start working in ASIC design - ASIC Customer support engineers, Business Development engineers, Program Managers

Prerequisite Basic engineering know how. 1-2 years experience in IC design/support is preferable. Course Methodology This course is conducted in a seminar room. The course will include brief interactive workshops like sessions to encourage participation and facilitate learning. Each participant will receive a set of course material. There are no lab sessions. Course Duration 3 days (9am 5pm) Course Structure The course is organized into modules to facilitate participants to attend a specific module(s) as per their interest/need. To extract maximum benefit from the course however, participation in all modules is recommended. A. Introduction to ASICs - Standard cell based ASIC - Semi-custom ASIC and Full custom ASIC - Basics of IC Design Flow - Some definitions - Generic Technology Aspects & Trends B. Design Library - Definition, Library Architecture (With basic introduction to SSIs, IOs, Memories, IPs; general circuits used like Flip flops, latches, combinational circuits, RAMs, ROMs etc. will be included) - Library Cell Representations - Cell views (logical description, timing information, derating data, capacitance information, power and area information) - Global views (max capacitance, interconnect info, max power and derating information) - Library Characterization (Standard load, trip points, parasitic caps, input slew rate, timing equation, delay calculation) - Library Validation - Trends in Library architecture (Power, speed optimization, drive, contents changing with technology and trends) C. Logic Simulation & Synthesis 1. Logic Simulation - Simulation modes (behavioral, functional, static timing analysis, gate level simulation) - Net capacitance - Cell model (primitive, library, macro/ip) and test bench - SDF in simulation - Limitations of logic simulation

- STA 2. Logic Synthesis - Logic synthesis in the design flow - HDL and Synthesis some HDL guidelines - Constraints and operating conditions - Memory Synthesis - Timing driven synthesis D. Floorplan, Placement & Routing, Finishing 1. Floorplan, Placement & Routing, Finishing Floorplan - Goal, objective - Hierarchical Design - I/O and Power planning - Core limited and pad limited design - Clock Planning - Grouping and Regioning Placement & Routing, Finishing - Goals & Objectives - Timing driven placement/physical Design flow - Information formats - Routing (Global & detailed routing, clock routing, power routing) - Back Annotation, Circuit extraction - Design checks, Mask preparation 2. DFM - Need for DFM - Yield categories - Yield Optimization (Critical Area Analysis, Chemical Mechanical Polishing, Lithography Compliance Check) E. Verification, DFT - Need for Verification - Functional Verification (Simulation, Formal Verification, Coverage Code, Functional, Assertion) - Timing Verification STA, SSTA - Physical Verification DRC, LVS, Parasitic Extraction - Design For Test (DFT) Scan (Full scan, boundary scan) Faults Fault models, Fault collapsing, IDDQ test and Fault simulation ATPG, At speed test BIST (LFSR, Signature Analysis)

Test logic insertion F. Low Power Design Guidelines - Sources of power dissipation (Static, Short circuit, dynamic) - Power distribution considerations (Temperature, package, Voltage drop, Electromigration) - Low power design techniques and methodologies (levels of low power optimization, includes, MSV, MTCMOS, VTCMOS, encoding, logic reduction, clock gating etc.) - Guidelines for low power design G. ASIC construction & Managing an ASIC program - Basic economics involved in ASIC development - ASIC Program Flow - Key factors to be considered at ASIC start up - Selecting technology, library, IPs, package etc. - Die size estimation, power estimation - Design interfaces - What is an ASIC program - Different functions - Documentation, check lists, sign-offs - Issues seen during ASIC implementation - Guidelines for effective ASIC program management H. Trends in the ASIC arena - Some definitions - Shift in ASIC technology & the underlying reasons - Insight into Structured ASICs/Platform ASICs - IPs used in Platform ASIC - Cell based ASIC vs. Platform ASIC - Some Industry examples illustrating the trend Course Instructor Meenu Sarin Director, Tel: +65 98629814, Email: meenu@asic-vlsi.com, Website: Blog: /blog Twitter: @meenusarin Facebook: LinkedIn: http://sg.linkedin.com/in/meenusarin Ms. Meenu Sarin is a microelectronics professional with over 21 years experience in the microelectronics industry across various facets of operations & across geographies like Europe, India, Singapore, Greater China and Australia and with special focus in the semi-custom ASIC environment. She has registered her company,, in Singapore from where she consults offering technocommercial services to the semiconductor industry. She has conducted in-house training courses and public workshops in various countries including Singapore, Malaysia, Hong Kong and India besides delivering talks in universities. She is also a founding member and an Executive Board Member of the Singapore Semiconductor Industry Association (www.ssia.org.sg)

From 1997-2002, Meenu was a Technical Marketing Manager in STMicroelectronics (STM)/Singapore with focus on Telecom segment. In this role, she was responsible for Business Development and Program Management for STM s semicustom ASIC projects in Asia Pacific. Meenu also worked as a Program Manager in charge of managing various semi-custom projects with customers in the Asia- Pacific Region. Before her move to STM Singapore, Meenu worked at STM India from 1991 to 1997. As a Design Manager for Library Design Group, she was responsible for growing and managing a 30 member strong team involved in design and development of semi-custom digital libraries in various technologies across different platforms as per the market requirements and to support designers in STM s worldwide locations. Prior to this, Meenu had been a Design Engineer for digital library design and development at STM Italy for several years after she received her engineering degree (Computer Engineering) from Delhi Institute of Technology, India in 1988.