Near-field optical photomask repair with a femtosecond laser

Similar documents
Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Study of shear force as a distance regulation mechanism for scanning near-field optical microscopy

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

MARS2: An Advanced Femtosecond Laser Mask Repair Tool

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

ESCC2006 European Supply Chain Convention

Major Fabrication Steps in MOS Process Flow

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

Photolithography I ( Part 1 )

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

NSOM (SNOM) Overview

Part 5-1: Lithography

- Near Field Scanning Optical Microscopy - Electrostatic Force Microscopy - Magnetic Force Microscopy

Titelfoto. Advanced Laser Beam Shaping - for Optimized Process Results and Quality Inspection in the PV Production - Maja Thies.

High Energy Non - Collinear OPA

Lecture 5. Optical Lithography

EE-527: MicroFabrication

Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication

Practical Applications of Laser Technology for Semiconductor Electronics

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Features. Applications. Optional Features

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

A process for, and optical performance of, a low cost Wire Grid Polarizer

Will contain image distance after raytrace Will contain image height after raytrace

Optical Requirements

The Laser Processing of Diamond and Sapphire

Nanonics Systems are the Only SPMs that Allow for On-line Integration with Standard MicroRaman Geometries

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Rear Side Processing of Soda-Lime Glass Using DPSS Nanosecond Laser

Low-cost direct writing lithography system for the sub-micron range

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

CVI LASER OPTICS ANTIREFLECTION COATINGS

Devices Imaged with Near-eld Scanning Optical Microscopy. G. H. Vander Rhodes, M. S. Unlu, and B. B. Goldberg. J. M. Pomeroy

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Laser patterning and projection lithography

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT

MICROCHIP MANUFACTURING by S. Wolf

By: Louise Brown, PhD, Advanced Engineered Materials Group, National Physical Laboratory.

Process Optimization

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Maria Smedh, Centre for Cellular Imaging. Maria Smedh, Centre for Cellular Imaging

What s So Hard About Lithography?

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser

Mask Technology Development in Extreme-Ultraviolet Lithography

EUV Substrate and Blank Inspection

KNIFE-EDGE RIGHT-ANGLE PRISM MIRRORS

The spectral colours of nanometers

Photolithography Technology and Application

i- Line Photoresist Development: Replacement Evaluation of OiR

UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Title: Laser marking with graded contrast micro crack inside transparent material using UV ns pulse

High power UV from a thin-disk laser system

IMAGING P-N JUNCTIONS BY SCANNING NEAR-FIELD OPTICAL, ATOMIC FORCE AND ELECTRICAL CONTRAST MICROSCOPY. G. Tallarida Laboratorio MDM-INFM

Beam Shaping in High-Power Laser Systems with Using Refractive Beam Shapers

Theory and Applications of Frequency Domain Laser Ultrasonics

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Nano Scale Optics with Nearfield Scanning Optical Microscopy (NSOM)

High power VCSEL array pumped Q-switched Nd:YAG lasers

Comparison of actinic and non-actinic inspection of programmed defect masks

ICALEO 2007, October 29 November 1, Hilton in the WALT DISNEY WORLD Resort, Orlando, FL, USA

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Near-field Optical Microscopy

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Optolith 2D Lithography Simulator

Chapter 14. Tunable Dye Lasers. Presented by. Mokter Mahmud Chowdhury ID no.:

Optical Characterization of Compound Refractive Lenses

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G

Microscopic Structures

Dynamic Phase-Shifting Microscopy Tracks Living Cells

Optical Issues in Photolithography

Vertical External Cavity Surface Emitting Laser

Nanoimprint lithography with a focused laser beam for the fabrication of micro-/nano-hybrid patterns

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Midaz Micro-Slab DPSS Lasers:

Pulsed Laser Ablation of Polymers for Display Applications

Spectral phase shaping for high resolution CARS spectroscopy around 3000 cm 1

Contrast Enhancement Materials CEM 365HR

Linewidth control by overexposure in laser lithography

Photolithography II ( Part 2 )

Micro- and Nano-Technology... for Optics

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EE 143 Microfabrication Technology Fall 2014

Microelectronics Packaging AS FEATURES GET SMALLER, THE ROLE FOR LASERS GETS LARGER

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

SENSOR+TEST Conference SENSOR 2009 Proceedings II

POWER DETECTORS. How they work POWER DETECTORS. Overview

Module - 2 Lecture - 13 Lithography I

PICO MASTER 200. UV direct laser writer for maskless lithography

Silicon Photodiodes - SXUV Series with Platinum Silicide Front Entrance Windows

Radial Polarization Converter With LC Driver USER MANUAL

Optical Microlithography XXVIII

Transcription:

Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI, I. MELNIK, S. YOFFE & Y. SHARON Nanonics Lithography Ltd, The Manhat Technology Park, Malcha, Jerusalem 91487, Israel Key words. Laser ablation, near-field optics, photomask repair. Summary We present a high-resolution near-field optical tool designed for repair of opaque defects in binary photomasks. Both instrument design and near-field imaging and patterning results will be presented. Designed for ablative processing of thin metal films, the MR-100 incorporates an industrial amplified femtosecond laser, third harmonic generator and built-in autocorrelator. The ultrashort duration of the femtosecond pulses enables the tool to remove chrome layers with negligible damage to the surrounding metal or the underlying quartz substrate. The micropipette based near-field writing head can deliver power densities of hundreds of GW/cm 2 to spots of several hundred nanometres and below. Repairs on sample masks will be presented and the repair quality will be discussed. Introduction The capabilities of existing mask repair tools are not sufficient to meet the requirements of the next generation masks that are scheduled to be introduced within two years for the 0 13 mm lithography requirements (International Sematech Lithography Road Map, 1998). Current optical repair tools do not have the imaging resolution or optical placement accuracy to meet the required edge placement tolerances. Focused ion beam (FIB) techniques on the other hand, are capable of very high resolution but are limited by the damage that the ion beam causes to the quartz substrate of the photomask. This ion staining is becoming more severe as the lithography wavelengths move further into the UV. The tool described in this paper achieves repair resolutions comparable to those of FIB machines while providing superior surface restoration properties due to its purely optical material interaction. The combination of imaging and then ablating with the same micropipette allows overall edge placements of better than 50 nm to be achieved. Correspondence to: K. Lieberman. Tel: þ 972 2 679 6601; fax: þ 972 2 679 6597; e-mail: klony@netvision.net.il System description The basic design on the system is shown schematically in Fig. 1. A straight, hollow metallised micropipette is used as the near-field aperture for both imaging and patterning. Micropipettes, rather than optical fibres, are employed since only micropipettes have the ability to collimate sufficient power to evaporate metal films without themselves being damaged. Details of micropipette manufacture and applications to ablative processing with excimer lasers can be found elsewhere (Rudman et al., 1994; Lieberman et al., 1996). A standard shear-force AFM feedback is employed to track the tip over the surface. A CW doubled Nd:YAG laser is fed into the micropipette for NSOM imaging. The scanning is performed by a hollow compound flexure stage with 2 mm absolute accuracy over an 8 inch travel and 20 nm repeatability over a 50 mm scan field. Apertures on the order of 400 nm are used for this work. While this may seem somewhat large for a near-field aperture, effective, well-defined spot sizes of this magnitude cannot be achieved with far-field optics. Currently, direct processing of metal films at such dimensions is only possible with focused ion beam technology. The repair process comprises the following steps. First, a transmission near-field image of the defect and surrounding area is obtained. This image is acquired in constant height imaging mode so that there will be no chance of topography coupled artefacts interfering with the near-field image. The operator then marks the area that needs to be removed and the edge of interest to be accurately reconstructed and the computer determines the precise contours of the defect and determines the exposure parameters. The area is then rescanned whilst the ablation laser is fired and the chrome is removed. A post-repair NSOM scan of the same area is then performed to verify the repair. Femtosecond laser ablation Previous implementation of the tool incorporated an ArF excimer laser as the ablation source (Lieberman et al., 1999 The Royal Microscopical Society 537

538 K. LIEBERMAN ET AL. Doubled Nd:YAG (532) or FRED (244) Femtosecond laser third harmonic (260) Near-field Optical / Atomic Force Microscope Head Diode Laser Near-field element Photodiode Photomask Microscope Objective Classical (Far-field) Optical Microscope Near-field Fig. 1. Schematic layout of the MR-100 near-field system. 1996; Rudman et al., 1994). This laser suffered from several inherent drawbacks that severely hindered the performance. The primary issue related to the relatively long pulse width of 2 5 ns. Although this is considered to be extremely short for ordinary laser machining processes, due to the Fig. 3. (A) Constant height NSOM image of a 2 mm period grating. (B) Cross-section line scan extracted from (A). Fig. 2. (A) AFM and (B) transmission NSOM of a chrome defect ablated with an ArF excimer laser.

NEAR-FIELD OPTICAL PHOTOMASK REPAIR 539 Fig. 4. (A) AFM image of a programmed defect prior to repair. (B) AFM image of the same region after repair. very high resolutions required by this work, thermal diffusion during the pulse spreads the heat to a region of extending hundreds of nanometres in all directions. Thus, the heat distribution is very uneven, with the centre of the region being heated to evaporation while surrounding areas are melted but not evaporated. Further out the heat causes phase transitions in the metal and accelerates diffusion processes between the chrome and glass interface layer. The result of this is a rather chaotic process that is not easily controlled. It turned out that it was impossible to effectively remove the 100 nm thick chrome layer on the mask without significantly penetrating the quartz substrate. An example of such a process is shown in Fig. 2. The AFM image shows the deep cratering of the substrate, while the transmission NSOM clearly indicates the transmission losses in the processed region, making this repair unacceptable. The introduction of a femtosecond duration pulsed laser has resolved this issue. With sufficiently short pulses (where the pulse duration is less than the phonon lattice coupling constant) the light which is absorbed by the electrons will ablate the metal, and take the energy with it, before the heat can transfer to the surrounding regions. The mechanics of such ablative removal of metal films with ultrashort pulses has been fairly well characterized (Nolte et al., 1997). Until quite recently however, there were no femtosecond laser systems that were sufficiently reliable to be considered for industrial equipment. The laser we have incorporated, with a fully self-contained fibre oscillator, pump laser, TiS amplifier and pulse compressor, is in a thermally stabilized metal casting. Energy densities on the order of several hundred millijoules/cm 2 can be achieved at the exit aperture of the pipette without damage to the pipette itself. Edge definition and placement One of the primary functions of a photomask repair tool is to carve off excess chrome protruding from patterned lines

540 K. LIEBERMAN ET AL. Fig. 5. Arial imaging data (AIMS) at 248 nm of two repaired defects. (A) Image best focus, (B) plus 1 mm defocus. on the mask. Such protrusions effect the critical dimension (CD) variations on the processed wafer and can significantly impact production yields. Current requirements for the accuracy of edge repairs, as defined by the international SEMATECH organization (Lieberman, 1997) dictate reconstruction of the straight edge to between 30 and 50 nm, depending on the process technology involved. In order to achieve such accuracy it is necessary to determine the position of the edge in the near-field image to a value significantly better than this. Simply choosing the middle, or the maximum gradient of the edge slope in the NSOM image is far from satisfactory, however. As an example see Fig. 3, which is a constant height NSOM image of a 2 mm period grating along with an extracted profile cross-section. Choosing the middle of the rise, even discounting for the spurious polarization-induced edge enhancements visible on either side of the line, gives a consistent placement error of over 100 nm in the direction of the transparent quartz regions. Empirical fitting of these data to the known grating parameters allows us to determine the optimal position with far greater accuracy. In this case, it can clearly be seen that the actual edge is located only at a rise of 35% of the pure quartz transmission value. Transmission quality after repair The quality of the exposed quartz after ablative repair is of particular significance to the mask manufacturers. Since current masks operate at a deep ultraviolet illumination wavelength of 248 nm, any residual material, or conversely, any substrate penetration greater than 10 nm, will lead to unacceptable transmission losses or scattering. An example of a high quality repair is seen in Fig. 4. The original programmed defect, a semicircular protrusion in the chrome film 100 nm high can be seen in the AFM image in Fig. 4(A). Another image of the same region after the repair is seen in Fig. 4(B). All the excess chrome has been removed with no damage to the substrate. A slight overcut of 50 nm into the edge can also be seen. Arial imaging measurements (AIMS) of several repaired defect sites can be seen in Fig. 5(A),(B). 1 In these images, which simulate the optical parameters of the steppers used to print the wafers (wavelength, numerical aperture, partial coherence, etc.), it can be seen that there is no loss of transmission throughout the repaired area. In Fig. 5(B) it can be seen that even with 1 mm defocus of the imaging lens the repaired area remains 1 AIMS images courtesy of Photronics Inc., Milpitas, CA

NEAR-FIELD OPTICAL PHOTOMASK REPAIR 541 clear indicating that the repair does not impact the process tolerances. The edge placement, which amounts to less than 10% of the CD, while not perfect, is acceptable. AFM and AIMS data from an additional repair are shown in Fig. 6. In this example, a 3 mm square edge protrusion was removed from the right side of the line. Here too, no significant residual material or substrate penetration is evident and the transmission after the repair is excellent. Conclusion In this paper we have demonstrated precise near-field ablative removal of thin chrome films for application in photomask repair. The near-field imaging and positioning capability has allowed edge placement accuracies that can not be matched by other optical techniques. The introduction of a femtosecond laser has resolved the outstanding problem of substrate damage and transmission quality after repair. Thus, the incorporation of a femtosecond laser into our near-field optical system provides a unique combination capable of simultaneously addressing the two main challenges of high-resolution photomask repair. References Lieberman, K. (1997) Near-field mask repair. Microlithography World, Spring 1997. Lieberman, K., Ignatov, A., Rudman, M., Melnik, I. & Lewis, A. (1999) Near-field optical imaging and patterning of large samples. Ultramicroscopy, in press. Lieberman, K., Terkel, H., Rudman, M., Ignatov, A. & Lewis, A. (1996) High resolution deep UV laser mask repair based on near-field optical technology. SPIE Proc. 2793, 481 488. Nolte, S., Momma, C., Jacobs, H., Tunnermann, A., Chichkov, B.N., Wellegenhousen, B. & Wellig, H. (1997) Ablation of metals by Ultrashort Pulses. J. Opt. Soc. Am. B. 14, 2716. Rudman, M., Shchemelinin, A., Lieberman, K. & Lewis, A. (1994) Near-field nanofabrication with pipette guided ArF excimer laser. SPIE Proc. 2197. Fig. 6. (A) AFM and (B) AIMS data for a 3 mm edge defect.