EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits

Similar documents
Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

RECENT technology trends have lead to an increase in

Session 3: Solid State Devices. Silicon on Insulator

Lecture #29. Moore s Law

FinFET-based Design for Robust Nanoscale SRAM

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Static Noise Analysis for Digital Integrated Circuits in Partially Depleted Silicon-on-Insulator Technology

Double-Gate SOI Devices for Low-Power and High-Performance Applications

Analog Performance of Scaled Bulk and SOI MOSFETs

Leakage Current Analysis

Session 10: Solid State Physics MOSFET

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

EECS130 Integrated Circuit Devices

Device design methodology to optimize low-frequency Noise in advanced SOI CMOS technology

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

I DDQ Current Testing

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

MOSFET short channel effects

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Very Low Voltage Testing of SOI Integrated Circuits

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

EE434 ASIC & Digital Systems

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

EECS130 Integrated Circuit Devices

Microelectronics, BSc course

Low Power, Area Efficient FinFET Circuit Design

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

FinFET vs. FD-SOI Key Advantages & Disadvantages

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

Domino Static Gates Final Design Report

UNIT-1 Fundamentals of Low Power VLSI Design

DESIGN & OPTIMIZATION OF FINFET BASED DOMINO LOGIC CIRCUIT Akshay Angaria 1 *, Umesh Dutta 2, Sneha Arora 3 1,3

THE basis for this processor design was a 350-MHz, 64-b

Metal-Oxide-Silicon (MOS) devices PMOS. n-type

Drain. Drain. [Intel: bulk-si MOSFETs]

Power-Area trade-off for Different CMOS Design Technologies

Basic Fabrication Steps

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

CMOS VLSI Design (A3425)

Low Transistor Variability The Key to Energy Efficient ICs

IOLTS th IEEE International On-Line Testing Symposium

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

IBM Research Report. Circuit Techniques Utilizing Independent Gate Control in Double-Gate Technologies

INTRODUCTION TO MOS TECHNOLOGY

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology.

AS THE semiconductor process is scaled down, the thickness

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EEC 118 Lecture #12: Dynamic Logic

Sub-Threshold Region Behavior of Long Channel MOSFET

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Newer process technology (since 1999) includes :

MOSFET Parasitic Elements

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

Combinational Logic Gates in CMOS

Fully Depleted Devices

Small-signal Modelling of SOI-specific MOSFET Behaviours. D. Flandre

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

UNIT-II LOW POWER VLSI DESIGN APPROACHES

III-V CMOS: Quo Vadis?

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Contents 1 Introduction 2 MOS Fabrication Technology

Impact of Leakage on IC Testing?

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Study of Electrical Characteristics of SOI n-mosfet at Various Technological Nodes

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Analog and Telecommunication Electronics

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Transcription:

EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 12. SOI Devices and Circuits

References CBF, Chapter 5 On-line course reader on SOI Many slides borrowed from C. T. Chuang s 2001 tutorial at VLSI Symposium

Why PD-SOI? Advantages Reduced source/drain parasitic capacitances Reduced reverse-body effect in stacked structures floating body charge Gate N+ P N+ Buried oxide P Substrate

Main Challenges Parasitic bipolar effect can result in noise failures Collector Base Emitter N P N Drain F Body Source H H L L Should be off but conducts current

Main Challenges Parasitic bipolar effect can result in noise failures Floating body causes uncertainties in threshold voltage timing noise margin

Body voltage determined by... Capacitive coupling of gate, source, drain, and body Gate N+ N+ body potential

Body voltage determined by... Diode currents at source- body and drain- body junctions forward biased Gate N+ N+ Time scale: < cycle time

Body voltage determined by... Diode currents at source- body and drain- body junctions reverse biased Gate N+ N+ Time scale: >> cycle time

Body voltage determined by... Impact ionization currents hole collection charges body Gate N+ N+ Time scale: >> cycle time

Kink effect First and second kink

State diagram view of switching G=H S/D=L/L 1 G=L S/D=L/H 5a 0.437 V NFET Body Voltage 0 V diode leakage capacitive coupling

State diagram view of switching NFET G=H S/D=L/L 1 G=L S/D=L/L 3 G=L S/D=L/H 5a G=H S/D=L/H 6a G=H S/D=H/H 2 G=L S/D=H/H 4 G=L S/D=H/L 5b G=H S/D=H/L 6b

Hysteretic V T Variation Long Time Constants for Body Charging & Discharging Impact Ionization Current Junction Leakage/Current GIDL Body Potential during Switching Transient Determined Primarily by External Biasing Capacitive Coupling Charge Imbalance through Switching Cycle Circuit Behavior Depends on Prior States and Switching Patterns

Static CMOS Inverter : Initial Input at Low nfet V B Determined by Back-to-Back Diodes pfet V B at V DD Initially pfet V B before Input Falling Transition Determined by Capacitive Coupling V DD V IN pfet G/B Coupling V T, pfet V IN V T, nfet V OUT V OUT nfet G/B Coupling GND V DD D/B Coupling n p n V B, pfet G/B Coupling V B, nfet V d, cut-in G/B Coupling D/B Coupling G/B Coupling

Static CMOS Inverter : Initial State Initial Input at Low nfet V B Determined by Back-to-Back Diodes pfet V B at V DD Initially pfet V B before Input Falling Transition Determined by Capacitive Coupling Initial Input at High nfet V B at GND Initially nfet V B before Input Rising Transition Determined by Capacitive Coupling pfet V B Determined by Back-to-Back Diodes Delay Disparity at Beginning of Switching Activity

Hysteresis in Static CMOS Inverter : Initial State Large Delay Disparity at Beginning Due to Different Initial States V BS Determined by Balance of Forward Diode Current & Reverse Leakage V BS Determined by Capacitive Coupling Steady-State Independent of Initial States Determined Only by Q through Switching Cycle Reached when Q through Switching Cycle Equal to Zero Steady-State Delay Can Be Outside the Bound of The Two Initial-State Delays Gate Delay (ps) 16 14 12 10 1.8 V, L eff = 0.145 µm, W p /W n = 2, 1.0 ns Period, 50% Duty Cycle, 100 ps Input Slew Initial Input at Low (L-H) / High (H-L) TD fall TD rise H-L L-H H-L 8 10-9 10-8 10-7 10-6 10-5 Time (sec) VBS - nfet (V) 0.6 0.5 0.4 0.3 0.2 0.1 V BS-fall L-H V BS-rise 0.0 10-9 10-8 10-7 10-6 10-5 Time (sec) (M. M. Pelella et al., VLSI-TSA, 1999) H-L 0.0-0.1-0.2-0.3-0.4-0.5-0.6 VBS - pfet (V)

Parasitic Bipolar Effect and Reduced V T Leakage Parasitic Bipolar Leakage through Off Tx Off Tx High in Stack or in Pass-Gate Configuration with Source & Drain Conditioned to High, Resulting in High Body Voltage Source Subsequently Pulled Down Parasitic Bipolar Current Reduced-V T FET Leakage Dynamic Node Voltage Droop Problem Circuit Topologies Stacked OR-AND Structures Dynamic OR Pass-Transistor Based Circuits High Fan-In Mux Pseudo-2-Phase Dynamic Circuits Multi-Level Voltage-Switch Current Steering Circuits Dynamic CVSL XOR Circuit

Dynamic Carry Look-Ahead Adder in PowerPC 750 Parasitic Bipolar Current Causes Dynamic Node Voltage Droop Noise Propagates to Next Stage Cumulative Effect of Parasitic Bipolar Current and Propagated Noise Cause Data Corruption after 3rd Stage in The Chain Parasitic Bipolar Current VDD VDD T7 T8 T9 T10 XC0 XPCH PCH C0 T5 T6 xci ci Propagated Noise from ND2 Previous Stage T1 T2 T3 gz gp gg CLK T0 ND1 VDD GND (M. Canada et al., ISSCC, 1999)

Dynamic Circuit Techniques for SOI SOI Unique Features Reduced Charge Sharing Effect due to Reduced Junction Capacitance Less Delay Dependency on Stack Ordering due to Absence of Reverse-Body Effect Dynamic Circuit Techniques Pre-discharging Intermediate Nodes Re-ordering Pulldown Stack Cross-connecting Fingered Stacks Force Parasitic Bipolar Current to Occur during Precharge Phase Re-mapping Boolean Logic Complex Domino (D. H. Allen et al., ISSCC, 1999)

Pre-discharging Intermediate Nodes Bulk Design Intermediate Nodes Precharged to V DD to Minimize Charge Sharing SOI Design Intermediate Nodes Discharged to Prevent Parasitic Bipolar Effect CLK Bulk Design CLK SOI Design X X A0 B0 Y OUT A0 B0 Y OUT A1 B1 A1 B1 (D. H. Allen et al., ISSCC, 1999)

SOI Dynamic Circuit Techniques Conditional Feedback Setup Inputs during Precharge CLK A B FB_L OUT Pre-discharge Intermediate Node Cross-connected Inputs E F F E CLK Re-order Pulldown Tree (D. H. Allen et al., ISSCC, 1999)

Summary - Parasitic Bipolar Effect and Reduced V T Leakage Present Only in Certain Circuit Topologies Effect Reduced by Technology and Device Design Source/Drain Extension to Reduce Emitter & Collector Area Retrograde Channel Doping to Increase Effective Bipolar Gummel Number Leaky Body-Source Junction to Reduce Bipolar Current Gain Supply Scaling Parasitic Bipolar Effect Becomes Less Significant Reduced V T Leakage Becomes More Serious Experimentally, Parasitic Bipolar Effect Does Not Appear to Increase as L eff Is Reduced/Scaled < 10 µa/µm in Well-Designed State-of-The-Art Devices Design Impact Significant Design/Sizing Effort Sizing Up Keeper Device (Few % in Perf.) Selective Body Contacts (Few % in Area) Alternative Implementations Circuit Techniques to Minimize Effects

SRAM write SRAM circuit issues

SRAM circuit issues

Body contacts

SRAM circuit issues

DTMOS Body is employed as a backpate, lowering the Vt when trying to turn the device on and increasing the Vt when turning it off. Adding significant capacitance to the gate and significant Miller capacitance. Large RC delay associated with the body contact, so performance advantage not achieved in practice. Operating voltages limited to 0.5 V or less to prevent S-B/D-B junctions from becoming forward-biased

Smart Body Contact for High-Performance Applications High Voltage Connect Body to Gate Results in Large Diode Leakage Circuits Stressed at Elevated Voltage and Temperature During Reliability Screening Viable Smart Body Contact Scheme Significant Performance Improvement Withstand High Voltage Minimum Area Tolerant to Distributed RC of Body Contact Type-1: Improving Noise Immunity and/or Device Matching while Preserving Performance Advantage of Floating Body Type-2: Maximizing Performance by Charging Up Body before Device Switches

Smart Body Contact : Dynamic Body Discharge Discharge Body during Off State to Prevent Very High Body Voltage Reduce On Pass-Tx Output Voltage Overshoot Reduce Initial-Cycle Parasitic Bipolar Current in Pass-Tx Turn off Body Discharge Path When Tx about to Be On to Maintain Performance Advantage of Floating Body Discharge devices and inver ter are small Select A Circuit Block A Circuit Block I/ O I/ O Optional (J. B. Kuang et al., IEEE Int. SOI Conf., 1999)

Dynamic Body Discharge: Latch-Type Sense Amplifier Improve Device Matching and Noise Margin Maintain Performance Advantage of Floating Body To bi t swi t ches Bitline_T Bitline_C sense_amp_rst T C Discharge nfets Discharge nfets sense_amp_set Dat a_t Dat a_c To dat a out (J. B. Kuang et al., IEEE Int. SOI Conf., 1999)

Dynamic Body Discharge : Sensing Performance A: Dynamic Body Discharge, 0.7 V Initial Body Bias B: No Body Discharge, No Initial Body Bias C: No Body Discharge, 0.7 V Initial Body Bias (J. B. Kuang et al., IEEE Int. SOI Conf., 1999)

Smart Body Contact (Body Driven by Subsidiary Tx of The Same Type) Gate Cap. of Subsidiary Tx Add to Input Cap. Body of Primary Switching Tx Not Charged until Input Rises above V T of Subsidiary Tx Drain Cap. of Subsidiary Tx Add to Input Cap. Body of Primary Switching Tx Charged Immediately Once Input Switches (I. Y. Chung et al., IEEE Int. SOI Conf., 1996) (J. Gil et al., ISLPED, 1998)

Smart Body Contact 0.25 m L eff and V DD = 1.2 V 7-Stage Inverter Chain at 100 MHz (b) Offers 35% Delay Improvement over Conventional Ckt (b) Offers 20% Delay Improvement over (a) (a) 3.5 3.5 3.0 Conventional SOI 3.0 (b) Delay Time (ns) 2.5 2.0 1.5 1.0 0.5 0.0 C int = 70fF, C L = 200fF Convent ional SOI 1.0 1.2 1.4 1.6 1.8 2.0 Supply Voltage (V) 2.5 2.0 1.5 1.0 0.5 0.0 Power (mw) (J. Gil et al., ISLPED, 1998)

Charge Flooding : Latch-Type Sense Amplifier Flexible Timing for Both Edges (J. B. Kuang et al., IEEE Int. SOI Conf., 2000)

Charge Flooding : Sensing Performance Body Voltage Mismatch = 600 mv Original Circuit: Sensing Fails! Charge Flooding: Restores Tracking (J. B. Kuang et al., IEEE Int. SOI Conf., 2000)

Charge Flooding : Sensing Performance Faster Bitline Differential Voltage Development Faster Sensing Resolution 1000 1000 Bit Line Offset Voltage (mv) 800 V at 80% V 800 Bitline dd At 50% fast set 600 600 400 400 200 200 Resolution Time (ps) 0 0 1.0 1.2 1.4 1.6 1.8 Power Supply Voltage V (V) dd (J. B. Kuang et al., IEEE Int. SOI Conf., 2000)

CMOS Device Scaling and SOI 0.25 µm - 0.15 µm Competition against Rapidly Evolving Bulk CMOS SOI Limited to Special (Rad.-Hardened, High-V) Applications 0.12 µm - 0.07 µm Scaling towards End of Road Map for Bulk CMOS Bulk CMOS Evolution Decelerates to Slower Pace SOI Stands Better Chance for Mainstream Applications Fully-Depleted (FD) SOI Device (t Si < 50 nm) Partially-Depleted (PD) SOI Device (t Si 150 nm) 50 nm - 25 nm Beyond Bulk CMOS Scaling Limit New Device Structures Thin-Body SOI Device (t Si < 1/4 L G ) Dual-Gate SOI Device (t Si < 1/4 L G ) (C. Hu, IEEE Int l SOI Conf., 1998)

Thin-Body SOI Device t Si < 1/4 L G to Suppress DIBL and Improve SCE Lightly-Doped Body : Improve Mobility and Reduce Tunneling Gate Workfunction Engineering (Mid-Gap, Poly-SiGe, etc.) High k Gate Dielectric Fan-Out Source/Drain to Reduce Series Resistance t Si < ¼ L G (B. Yu et al., Int l Semicon. Dev. Res. Symp., 1997)

Dual-Gate SOI Device All the Benefits of Thin-Body SOI Device 2 Channels with Twice Gate Capacitance (for FG/BG Tie) Max Control of Channel Potential Best SCE (L eff < 25 nm) Steeper Subthreshold Slope (60 mv/dec vs 85 mv/dec for bulk) Potential for Achieving Ballistic-Limit Current in nmos* * (K. Kim and J. G. Fossum, SRC Techcon, 2000) Lightly-Doped Body, Low Transversal Field 2X to 2.4X Mobility Improvement No Performance Loss in Stacked Devices due to Body Effect FG/BG Mis-Alignment Costs Extra C OVERLAP & Loss of Current Drive oxide gate oxide source channel drain gate oxide Silicon Substrate (H. S. Wong et al., IEDM, 1997) oxide t Si < ¼ L G

Quasi-Planar Double-Gate Fin FET Process simplicity and compatibility with conventional planar CMOS technology Gate straddles thin silicon film Current flows parallel to wafer Quasi-Planar structure Quantized device width (Width = n x Fin Height) (S. H. Tang et al., ISSCC, 2001)

Double-Gate Fin FET : Fin Formation and Layout (S. H. Tang et al., ISSCC, 2001) Etching with spacer mask Sub- lithography pitch and width Fins packed narrower and tighter than gate Same layout techniques as conventional CMOS S/D directly strapped with metal Top &sides of each fin contacted