A 0.65-to-10.5 Gb/s Reference-Less CDR With Asynchronous Baud-Rate Sampling for Frequency Acquisition and Adaptive Equalization

Similar documents
ISSCC 2006 / SESSION 19 / ANALOG TECHNIQUES / 19.1

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

An 8.2 Gb/s-to-10.3 Gb/s Full-Rate Linear Referenceless CDR Without Frequency Detector in 0.18 μm CMOS

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

THE magnetic field has been widely used for the transfer of

1150 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 6, JUNE 2010

10 Input Filter Design

An In-Band Noise Filtering 32-tap FIR-Embedded ΔΣ Digital Fractional-N PLL

/$ IEEE

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN720: High-Speed Links Circuits and Systems Spring 2017

IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 33, NO. 6, JUNE

This chapter discusses the design issues related to the CDR architectures. The

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A Single-Stage 37 db-linear Digitally-Controlled Variable Gain Amplifier for Ultrasound Medical Imaging

TIMING recovery (TR) is one of the most challenging receiver

WITH the aid of wave-length division multiplexing technique,

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

REDUCING power consumption and enhancing energy

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

E tions usually derives its bursts of energy by rapidly

The Hemispherical Resonator Gyro for precision pointing applications A. Matthews and D. A. Bauer

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

178 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 48, NO. 1, FEBRUARY Mohit Kumar and Vivek Agarwal, Senior Member, IEEE EMI.

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

ECEN 720 High-Speed Links: Circuits and Systems

Franke Three-Dimensional Molded Interconnect Devices (3D-MID)

WIDE tuning range is required in CMOS LC voltage-controlled

ADVANCED radar systems benefit from the ability to have

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

Fuzzy Fusion Based High Dynamic Range Imaging using Adaptive Histogram Separation

ECEN 720 High-Speed Links Circuits and Systems

A High-Resolution Dual-Loop Digital DLL

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

PHASE-LOCKED loops (PLLs) are widely used in many

WITH the growth of data communication in internet, high

To learn fundamentals of high speed I/O link equalization techniques.

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

A design of 16-bit adiabatic Microprocessor core

Scene-Adaptive RGB-to-RGBW Conversion Using Retinex Theory-Based Color Preservation

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications

ALTHOUGH zero-if and low-if architectures have been

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

THE consumer electronics market demands high speed,

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Dedication. To Mum and Dad

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

A Cooperative Localization Algorithm for UWB Indoor Sensor Networks

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

THE ENVIRONMENTAL concerns and electric utility

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A 5Gbit/s CMOS Clock and Data Recovery Circuit

Low Power Design of Successive Approximation Registers

Renewable Energy 43 (2012) 90e100. Contents lists available at SciVerse ScienceDirect. Renewable Energy

Modeling and Evaluation of the Effect of Obstacles on the Performance of Wireless Sensor Networks

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

IN RECENT years, low-dropout linear regulators (LDOs) are

All-Synthesizable 5-Phase Phase-Locked Loop for USB2.0

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

5Gbps Serial Link Transmitter with Pre-emphasis

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

Ultra-high-speed Interconnect Technology for Processor Communication

A single-slope 80MS/s ADC using two-step time-to-digital conversion

THE reference spur for a phase-locked loop (PLL) is generated

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

INDUCTIVE power transfer (IPT) systems have found application

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

LSI and Circuit Technologies for the SX-8 Supercomputer

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

THE serial advanced technology attachment (SATA) is becoming

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

Lecture 11: Clocking

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

On-line Junction Temperature Estimation of SiC Power MOSFETs through On-state Voltage Mapping

THE DEMANDS of a high-bandwidth dynamic random access

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

RESISTOR-STRING digital-to analog converters (DACs)

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

Transcription:

276 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016 A 0.65-to-10.5 Gb/s Reference-Less CDR With Asynchronous Baud-Rate Sampling for Frequency Acquisition and Adaptive Equalization Seungnam Choi, Hyunwoo Son, Jongshin Shin, Sang-Hyun Lee, Byungsub Kim, Member, IEEE, Hong-June Park, Senior Member, IEEE, and Jae-Yoon Sim, Senior Member, IEEE Abstract This paper presents a continuous-rate reference-less clock and data recovery (CDR) circuit with an asynchronous baud-rate sampling to achieve an adaptive equalization as well as a data rate acquisition. The proposed scheme also enables the use of a successive approximation register (SAR) based approach in the frequency acquisition and results in a fast coarse lock process. The CDR guarantees a robust operation of a fine locking even in the presence of large input data jitter due to the adaptive equalization and a jitter-tolerable rotation frequency detector (RFD) that eliminates a dead-zone problem with a simple circuitry. The fabricated CDR in 65 nm CMOS shows a wide lock range of 0.65-to-10.5 Gb/s at a bit error rate (BER) of 10 12. The CDR consumes 26 mw from a single supply voltage of 1 V at 10 Gb/s including the power consumption for equalizer. By an adaptive current bias control, the power consumption is also linearly scaled down with the data rate, exhibiting a slope of about 2 mw decrease per Gb/s. Index Terms Adaptive equalization, asynchronous sampling, clock and data recovery (CDR), continuous time linear equalizer (CTLE), frequency acquisition, reference-less CDR, rotational frequency detector (RFD). I. INTRODUCTION IN modern communication systems, clock and data recovery (CDR) circuits are widely used as a core block at the receiver side for sampling and retiming the incoming data by recovering clock timing information. The CDR using an external reference clock operates at the pre-defined data rate so that it limits flexibility to meet various applications. To cover a wide range of bit rate, there exist two kinds of architectures: multi-rate CDR [1], [2] and continuous-rate CDR [3] [7]. The multi-rate CDR can acquire the timing information using multiple reference clocks [1] or single reference clock with a programmable divider [2]. Nevertheless, multi-rate CDRs only Manuscript received July 5, 2015; revised October 11, 2015; accepted November 30, 2015. Date of publication March 7, 2016; date of current version March 16, 2016. This work was supported by NRF-2015M3A9E2066861. This paper was recommended by Associate Editor S. Levantino. S. Choi, H. Son, B. Kim, H.-J. Park, and J.-Y. Sim are with the Department of Electronic and Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang 790-784, Korea (e-mail: snchoi89@gmail. com; jysim@postech.ac.kr). J. Shin and S.-H. Lee are with the System LSI Division, Samsung Electronics Co., Hwasung 446-711, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TCSI.2015.2512713 operate at several pre-defined data rates. To continuously cover a wide operation range, the continuous-rate CDR has been researched. The design of continuous-rate reference-less CDR has presented challenges for wide-range frequency acquisition, lowpower consumption with scalability along with the data rate, and fast frequency lock. Although the previously reported architectures [3] [7] achieved the continuous-rate operation, they still have several drawbacks in achieving all of such requirements. The coarse/fine frequency acquisition scheme [3] has been the first approach for a wide-range reference-less CDR. However, this architecture suffers from non-scalable power dissipation to the data rate since an LC voltage controlled oscillator (VCO) followed by a frequency divider still operates at high frequency even for very low data rate recovery. To achieve the scalability in power consumption along with the data rate, a wide-range CMOS VCO has been taken in continuous-rate reference-less CDRs [4] [6]. Although the delay locked loop (DLL) based approach [4] also covers a wide range of bit rates with the coarse/fine delay tracking, there is a stringent matching requirement between a voltage controlled delay line (VCDL) and a VCO and it requires large power consumption on high-speed buffers. The stochastic sub-harmonic frequency extraction [5] efficiently extracts the data rate by counting input data transitions. However, it generates very low frequency for the frequency reference and also assumes a pre-defined input transition density for frequency acquisition. A bang-bang phase detector (PD) output counting [6] and offset-controlled asymmetric linear PD [7] have been recently proposed for the continuous-rate operation with a simple digital logic. They should perform a linear search so that searching algorithms scan whole frequency range from the minimum or maximum until they find out the target. When used with a wide frequency range, repeating the frequency comparison with every change of 1b VCO resolution eventually limits the fast frequency detection. There has been no reference-less CDR achieving all the requirements of wide-range and fast frequency acquisition with low power consumption scalable to the data rate. This paper presents a reference-less CDR with an asynchronous baud-rate sampling scheme which achieves all of such requirements and an adaptive equalization [8], [9] at the same time. It also introduces a new jitter-tolerable rotational frequency detector that eliminates a dead-zone problem in the presence of input data jitter with a simple circuitry. Section II 1549-8328 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

CHOI et al.: A 0.65-TO-10.5 Gb/s REFERENCE-LESS CDR WITH ASYNCHRONOUS BAUD-RATE SAMPLING 277 Fig. 1. Asynchronous baud-rate sampling. (a) Core circuit. (b) Transfer characteristic along with the channel loss. Fig. 2. Waveforms w/o and w/ ISI-induced jitter. (a) f CLK data rate. (b) f CLK < data rate. describes the concept of the proposed scheme, and Section III describes the circuit implementation in detail. Section IV presents the stochastic analysis of the proposed frequency acquisition scheme. Section V summarizes the measurement results, and Section VI concludes this work. II. FREQUENCY LOCK WITH ADAPTIVE EQUALIZATION A. Asynchronous Sampling Fig. 1(a) shows the core circuit for the proposed asynchronous baud-rate sampling formed with a D flip-flop for input sampling and two counters (CNTs), where one counts the number (N Di ) of rising transitions in the input data (Di) while the other counts the number ( ) of rising transitions in the sampled data (Do). For the D flip-flop, we adopted a typical sense-amp type circuit since it receives a CMOS level signal generated by an equalizer followed by a CML-to-CMOS converter which will be described in the next subsection. /N Di versus the ratio between clock frequency (f CLK ) and data rate (D.R) is characterized with simulations for various channel loss [Fig. 1(b)]. If the sampling clock frequency (f CLK ) is higher than or equal to the data rate when there is no channel loss [Fig. 2(a)], Do contains all the transition events of Di. Therefore, equals N Di. On the other hand, if f CLK is lower than the data rate [Fig. 2(b)], the undersampled result would miss some input transition information, resulting in smaller than N Di. Therefore, the difference between f CLK and the data rate can be estimated by comparing N Di and. This missed transition occurs more frequently as the sampler receives isolated 1-UI pulse. If the input to the sampler experiences a lossy channel, the systematic jitter occurs by the inter-symbol interference (ISI). Since the ISI-induced jitter makes the isolated 1-UI pulse even shorter, the sampled data (Do) contains a smaller number of transitions. By utilizing this Fig. 3. (a) Control loop for generation of asynchronous sampling clock. (b) Coarse-locked clock frequency (f CLK ) according to CTLE gain. relation, the asynchronous sampling can be also applied to an adaptive equalization as well as the data rate acquisition. B. Adaptive Equalization and Frequency Acquisition Fig. 3(a) illustrates the detailed control loop for generation of a sampling clock (CLK) by a digitally controlled oscillator (DCO). In this work, two 9b counters are used for and N Di and the loop also contains a comparator (COMP) which checks whether is lower than N Di or not, when N Di reaches 511. According to the comparison result, the comparator drives its output (UP/DN) to a successive approximation register (SAR) that performs a binary search of the 10b DCO code (DCO[9:0]). By the transfer characteristic of /N Di [Fig. 1(b)] with 0-dB loss, the asynchronous sampling clock from the DCO becomes eventually locked to the baud-rate after the completion of the binary search. However, as shown in Fig. 1(b) with some channel loss, the exact baud-rate frequency can miss transition events if the input data contains ISI-induced jitter. In this case, the DCO would be locked to a higher frequency than the baudrate to count all the transition events. This work employs a continuous-time linear equalizer (CTLE) to compensate the channel loss, hence minimizing the ISI-induced jitter. The CTLE was designed to control the equalizing gain using a 3b CTLE gain code (G[2:0]). To find the optimal CTLE gain, the binary search of DCO[9:0] by the SAR is repeated as G[2:0] is forced to change from 000 to 111. During this linear scan process for G[2:0], where each step is composed of the binary search for DCO[9:0], a register (R G ) stores the G[2:0] whenever the SAR finds a new minimum DCO[9:0]. The new minimum DCO[9:0] is also stored in a register (R D ). Since both of the under-equalization and overequalization increase ISI-induced jitter, obtaining the minimum DCO[9:0] at a given G[2:0] can be interpreted as the ISIinduced jitter is eventually minimized by the optimal CTLE gain. Therefore, the minimum DCO[9:0] with a given G[2:0]

278 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016 leads to the data rate acquisition with adaptive equalization [Fig. 3(b)]. To achieve the power consumption scaled along with the data rate [9], the CTLE bias code (C[3:0]) is also adaptively controlled to flow proportional DC current to the data rate. C[3:0] of the current step is simply set to 4 MSBs of DCO code obtained after the completion of the previous linear search step. Similarly to R G,aregister(R C ) stores the C[3:0] whenever the SAR finds a new minimum DCO[9:0]. Before the linear search, one extra 10b binary search is inserted in the beginning with forcing G[2:0] to 000 and C[3:0] to 1111. It roughly obtains a reasonable C[3:0] for CTLE bias current to start the first step in the linear search. At the end of the linear search, G[2:0], C[3:0], and DCO[9:0] are respectively replaced with R G, R C,andR D, as a result of the adaptive equalization with the data rate detection. Data edge counting schemes have been often taken for the data rate acquisition [5] or the adaptive equalization [9]. To extract the data rate information, the stochastic sub-harmonic frequency extraction [5] counts the clock edges within a timing window given by the number of data edges. On the other hand, our scheme counts the sampled data edges within a timing window given by the number of data edges. Since the number of sampled data edges is much more sensitive to the channel loss than the number of data edges itself, the sampled data edge counting can uniquely achieve both the data rate detection and the adaptive equalization. Previous work on the adaptive equalization with the data edge counting [9] can be simply understood by switching the roles of the clock edges and the data edges in [5]. The number of data edges in [9] would not change once CTLE gain exceeds the minimum that starts to detect all the data transitions. On the other hand, the counted number of sampled data edges sensitively reflects the amount of jitter caused by both under- and over-equalizations, showing a higher distinguishability for finding the optimal value in the adaptive equalization. It can be also noted that this adaptive equalization maximizes horizontal window rather than the vertical window of the data eye. Maximizing the horizontal sampling window is more beneficial in reducing the bit error rate (BER) since chances of failure in small voltage detection by metastability become further reduced in the sampler operation with scaled CMOS technology. III. CIRCUIT IMPLEMENTATION Fig. 4 shows an overall circuit diagram of the CDR. For a wide-range operation, this work employs a coarse/fine 2-step frequency acquisition scheme [3], [4]. The proposed baudrate asynchronous sampling scheme does not require any extra clock source but uses the oscillator in CDR loop. The frequency acquisition and adaptive equalization complete the coarse lock. During coarse lock period, the loop filter (LF) stays at VDD/2 with charge pumps (CPs) disabled. When the coarse lock ends, the CDR with a dual-loop architecture whose loops are merged in a single LF [10], [11] takes over the loop control and performs the fine phase and frequency lock with a conventional full-rate binary PD [12] and a modified rotational frequency detector (RFD) which guarantees a robust lock operation even in the presence of input data jitter. Fig. 4. Schematic of the proposed CDR. A. Adaptive Equalizer To adapt the channel loss, a 3-stage CTLE [8], [9], [13] is adopted. The CTLE [Fig. 5(a)] features a degenerative structure with a source resistor (R S ) and a capacitor (C S ) generating additional zero (ω Z ) and pole (ω P1 ), leading to a transfer function of the CTLE as T (s) = V OUT (s) V IN (s) = g mr L 1+ g mr S 2 1+ s ( ) 1+ s ω P 1 ω Z ( ) 1+ s ω P 2 where ω P1 =(1+g m R S /2)/(R S C S ), ω P2 =1/(R L C L ), ω Z =1/(R S C S ) and g m is the transconductance of the input transistor. Since C[3:0] is closely related to the data rate, it is used to control the bias current (I BIAS ) and the load resistor (R L ) to adjust the bandwidth and the common-mode level of the output. The common-mode level is controlled by only two MSBs, C[3:2], since it does not seriously affect the overall CTLE performance. G[2:0] controls the R S to adjust the low frequency gain. Fig. 5(b) and (c) are simulated AC responses of the 3-stage CTLE when C[3:0] is 0000 and 1111, respectively. Fig. 5(d) summarizes the average resolution of the gain boosting as C[3:0] changes for voltage and temperature corners. The 3-stage CTLE is followed by a fully differential CML-to-CMOS converter (C-to-C). The C-to-C consists of an OTA stage and multiple cross-coupled inverter stages that ensure CMOS-level swing with half-vdd common mode voltage and preserve the transition timing of input data. B. Jitter-Tolerable RFD The Pottbacker RFD [14] is conventionally used frequency detector based on the quadricorrelator [15], [16] realized with digital circuits. As shown in Fig. 6(a), the conventional RFD can detect the frequency error with the low circuit complexity. However, it suffers from a dead-zone in the presence of input data jitter. Fig. 6(b) and (c) respectively describe the state diagrams (Q1, Q2) which generate wrong decisions under jittery condition when f CLK < data rate (UP state) and (1)

CHOI et al.: A 0.65-TO-10.5 Gb/s REFERENCE-LESS CDR WITH ASYNCHRONOUS BAUD-RATE SAMPLING 279 Fig. 6. (a) Conventional RFD. (b) State diagram and error occurrence at f CLK < data rate (UP state). (c) State diagram and error occurrence at f CLK > data rate (DN state). Fig. 5. (a) CTLE circuit. (b) AC response with C[3 : 0] = 0000 and (c) with C[3 : 0] = 1111. (d) Average resolution of gain boosting according to C[3:0]. f CLK > data rate (DN state). There are four states according to combinations of (Q1, Q2). The rotating direction indicates the sign of the frequency difference. ISI-induced jitter in the input data (D IN ) causes an instantaneous change in the data rate. Therefore, it can make the states rotate to wrong direction for a short period of time at the boundaries. Since RFD outputs (UP Conv and DN Conv ) are updated with opposite polarity only at the rising transition of Q2, an unwanted glitch-like rising transition of Q2 due to the input data jitter causes a wrong output to be held for significantly long time. This error occurs more frequently as the amount of jitter increases, resulting in a large dead-zone. To address the dead-zone problem in the RFD, a modified digital quadricorrelator frequency detector (M-DQFD) was introduced in [17], where complicated logic blocks are required to find out if the frequency error exists in the dead-zone. In addition, multiple case-by-case frequency-error indicators are needed for right frequency detection. In this paper, we propose a new dead-zone eliminated RFD with only a few gates added to the conventional RFD [Fig. 7(a)]. The proposed RFD achieves not only improvement of the input-jitter tolerability with a single frequency-error indicator but self-disabling [11] when locked. As shown in Fig. 7(b) and (c), the RFD has a valid and an invalid region for the output according to Q2. When Q2 is high, UPx and DNx are blocked so that both of RFD outputs (UP Prop and DN Prop ) become low. Only when Q2 is low, the output becomes valid. Similarly to the conventional RFD, the error occurs at an unwanted falling transition of Q2. However, the state will recover the correct direction after a short wandering due to the phase accumulation of the frequency difference. In other words, the state eventually enters invalid region after generating the wrong decision. Therefore, the wrong decision cannot affect the loop. On the other hand, the state enters valid

280 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016 Fig. 8. (a) Simulated waveforms and (b) average outputs of two RFDs in the presence of input data jitter. Fig. 7. (a) Proposed RFD. (b) State diagram at f CLK < data rate (UP state). (c) State diagram at f CLK > data rate (DN state). (d) Waveforms near lock state. region after generating the correct decision so that it properly controls the loop. When the loop is locked, the state falls on II or III [Fig. 7(d)], where Q2 stays at high. It blocks RFD output and prevents the RFD from disturbing the phase-locked VCO. Therefore, this tri-state operation automatically deactivates the RFD near lock state and reactivates it whenever the loop loses the lock without an additional switching. It should be noted that the selfdisabling of the RFD also helps achieving a large bandwidth for frequency lock. Although the proposed scheme reduces the gain of the RFD by half, the CP driving strength with the RFD can be set to much larger than that with the conventional RFD. As a result, the larger bandwidth with the self-disabling enables a quick adjustment of a little frequency offset after the coarse lock and achieves better jitter performance at the fine-locked phase. Fig. 8(a) compares simulated outputs of the conventional RFD and the proposed RFD in the presence of input data jitter. 10-Gb/s lossy data and 9.9-GHz clock (UP state) are applied to both RFDs. While outputs (UP Conv and DN Conv )ofthe conventional RFD suffer from false decisions causing a serious dead-zone, the outputs (UP Prop and DN Prop ) of the proposed RFD reduce the effect of false decisions to very short pulses which have negligible impact on correct operation [Fig. 8(b)]. C. Clock Generation The CDR employs a supply-regulated inverter-based oscillator [18] whose unit cell is described in Fig. 9(a). The VCO generates a 4-phase full-rate CLK, and its supply voltage (VDDVCO) is provided by the VCTRL generator (VCTRL Fig. 9. Circuit diagrams of (a) unit cell in oscillator and (b) VCTRL generator (VCTRL Gen.) followed by a regulator (REG). Gen.) followed by a regulator (REG) [Fig. 9(b)]. The VCTRL Gen. consists of a 10b binary current-mode DAC (I-DAC) to reflect the coarse-locked DCO[9:0] and a tri-state inverterbased variable gain amplifier (VGA) whose input is a LF output (VPL). As shown in Fig. 10(a), I-DAC enables a wide and linear range of the DCO frequency (f CLK ). The gain of the VGA is adjusted by 2MSBs of DCO code which adaptively controls the loop bandwidth for a wide frequency range operation. Fig. 10(b) is the simulated VCTRL versus VPL at the middle of

CHOI et al.: A 0.65-TO-10.5 Gb/s REFERENCE-LESS CDR WITH ASYNCHRONOUS BAUD-RATE SAMPLING 281 Fig. 11. Waveforms of Di and Do during T Monitor in case of undersampling. TABLE I STOCHASTIC ANALYSIS OF ACCURACY AND DETECTION TIME Fig. 10. (a) Simulated f CLK and VCTRL versus DCO code (DCO[9:0]). (b) Simulated VCTRL versus VPL. an each band defined by 2 MSBs of DCO code. The resulting oscillator gain (KVCO) in the fine locking process is given by KV CO = f ( ) ( ) CLK VPL = fclk VCTRL. (2) VCTRL VPL It is 1.0 GHz/V, 2.5 GHz/V, 4.5 GHz/V, and 7.0 GHz/V when the 2 MSBs of DCO code are 00, 01, 10, and 11, respectively. A level shifter (LS) converts the VCO output to a balanced CMOS-level output. IV. STOCHASTIC ANALYSIS This section examines the proposed frequency acquisition scheme with the stochastic analysis. For simplicity to get an insight, a random input stream with a transition density of 1/2 is assumed to be applied through an ideal channel without ISI-induced jitter. A. Full-Rate Operation As explained in Section II, if VCO frequency (f CLK ) is higher than or equal to the data rate, the input sampler in the asynchronous sampling core [Fig. 1(a)] detects all the transition events of Di, which leads to /N Di =1. On the other hand, in case of the undersampling where f CLK is lower than the data rate, the input sampler would miss some input transitions, resulting in less than N Di. Assuming N Di and are counted for a given time (T Monitor ) in case of the undersampling as in Fig. 11, they eventually approach theoretical values as N Di = T Monitor 1-UI 1 4 = T Monitor T CLK 1 4 (3.a) (3.b) where T CLK is the clock period and the factor of 1/4 reflects the probability of the rising transition which only contributes to and N Di. From (3.a) and (3.b), /N Di is then expressed as N Di = 1/T CLK 1/1-UI = f CLK D.R. (3.c) This reveals that /N Di is linearly proportional to the ratio between f CLK and the data rate. Consequently, /N Di along with f CLK /D.R becomes N Di = 1, for f CLK D.R f CLK /D.R, for f CLK <D.R which verifies the RTL-level simulation result [Fig. 1(b)] with 0-dB loss. B. Detection Time and Accuracy When the VCO frequency is higher than the data rate, every transition is detected by the oversampling. Therefore, this subsection focuses on the undersampling which experiences the miss of transition events, and hence makes a difference between N Di and. As the difference in N Di and is proportional to T Monitor, a longer T Monitor helps to get statistically more accurate result, especially when the frequency difference is small. Thus, there is a trade-off between the detection time and the accuracy. (4)

282 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016 Fig. 14. Measured f CLK after coarse lock and BER with various CTLE gain settings. Fig. 12. (a) Chip micrograph. (b) Testing setup. (c) FR4 channel characteristic including the effect of the parasitic. replacing with N Di 1 in (3.c), representing one missing event, as N Di 1 N Di = f CLK.UP D.R (6.a) or D.R f CLK.UP = D.R. (6.b) 2 # of Counter bits Both of (5) and (6.b) include the number of counter bits as a variable, indicating the trade-off between the detection time and the detection resolution. Table I summarizes T Monitor and f CLK.UP for various cases of the numbers of counter bits and the data rates. In this work, 9-bit counting is selected as a compromise of the detection time and the coarse-lock accuracy. The frequency error after the coarse lock is small enough and can be safely handled by the proposed RFD even in the presence of ISI-induced jitter. Fig. 13. Measured trace of f CLK during coarse and fine lock process at 4 Gb/s. According to (3.a), each 1-bit comparison cycle (T Monitor ) during the binary search is expressed as T Monitor =N Di 1 1/4 of Counter 1 (1-UI)= 2# bits 4 D.R. (5) The maximum detectable clock frequency (f CLK.UP ) which can be detected to be lower than the data rate is obtained by V. M EASUREMENT RESULTS The proposed circuit is fabricated in 65 nm CMOS process. Fig. 12(a) shows a micrograph of the die whose active area is 0.21 mm 2 including input/output buffers for test. In the testing setup [Fig. 12(b)], a full-rate PRBS data provided by the BER tester (J-BERT) is applied to the chip through FR4 traces with different lengths. For the channel characterization, we measured the transmission-line-only characteristics and added the effect of the parasitic with an inductance of 1 nh and a capacitance of 1.5 pf for modeling SMA, 2 cm-long PCB trace from SMA to die, wire bonding, and pad [Fig. 12(c)]. The recovered clock is monitored with half-rate for relieving the bandwidth constraints of the output buffers. Fig. 13 demonstrates the measured trace of the clock frequency (f CLK ) during whole locking process at 4 Gb/s with a 40 cm PCB channel (about 5 db loss). The graph clearly shows nine 10b SAR steps which consist of one step for the CTLE initialization and eight steps for the CTLE gain

CHOI et al.: A 0.65-TO-10.5 Gb/s REFERENCE-LESS CDR WITH ASYNCHRONOUS BAUD-RATE SAMPLING 283 Fig. 16. (a) Total power consumption. (b) Equalizer power consumption according to data rate. Fig. 15. Jitter histograms of recovered clock (rclk) (a) at 0.65 Gb/s and (b) at 10.5 Gb/s. (c) Phase noise of rclk (divided by 2) at 10.5 Gb/s. (d) Jitter tolerance at 10 Gb/s. linear search. At the beginning of each step, f CLK is reset to the center frequency of the DCO where DCO[9:0] is set to 1000000000 for starting the binary search. The initialization step is to obtain a reasonable C[3:0] to start the first step of the linear search and following eight steps eventually find the optimum CTLE gain with the data rate acquisition. Each steps is completed in 2 #ofcounterbits (1/rising transition density of random data) # of DCO bits, or only 20 480 UI (5.12 μs at4gb/sand1.95μs at 10.5 Gb/s) in this design. The completion of the total nine steps requires about 184,320 UI (46.1 μs at 4 Gb/s and 17.6 μs at 10.5 Gb/s). At the end of the linear search, f CLK is replaced to f CLK min which is provided by the stored minimum DCO[9:0]. G[2:0] and C[3:0] are also replaced with the stored values that makes the minimum DCO[9:0]. Imperfectness of sampler such as metastability and sensitivity-induced error might miss signal transition. It causes the coarse-locked f CLK min to be slightly higher than the target. After the coarse lock, fine lock process takes over the loop control with the jitter-tolerable RFD and the PD. To verify if the proposed scheme finds the optimum, the coarse-locked f CLK by the proposed scheme is compared with the coarse-locked f CLK with a manually given CTLE gain. As the gain code, G[2:0], changes from 000 to 111, the minimum f CLK and the minimum BER are achieved at the same gain codes [Fig. 14]. 20 cm- and 40 cm-long traces on PCB are used as channels for 9 Gb/s and 8 Gb/s 2 15 1 PRBS patterns, respectively, presenting 11 db and 14 db losses at each Nyquist frequency including the effect of the parasitic. The proposed adaptive equalization scheme successfully finds out the optimum CTLE gain. Jitter histograms of the recovered clock were monitored with half-rate at 0.65 Gb/s [Fig. 15(a)] and at 10.5 Gb/s [Fig. 15(b)]. The rms jitters of both cases are 38.5 ps and 3.4 ps, respectively. Fig. 15(c) shows measured phase noise of the recovered clock (after divided by 2) with a data rate of 10.5 Gb/s. Fig. 15(d) illustrates the jitter tolerance at 10 Gb/s, using 2 15 1 PRBS input with a BER criterion of 10 12.

284 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016 TABLE II PERFORMANCE SUMMARY AND COMPARISON The proposed CDR consumes 26 mw from a supply voltage of 1 V at 10 Gb/s including the equalizer power consumption. As shown in Fig. 16(a), the total power consumption is linearly scaled down along with the data rate except for an offset of 5 mw for the minimum bias current for analog circuits (CTLE, C-to-C, CP, REG, and VCTRL Gen.). The CTLE bias is also adaptively controlled to flow proportional DC current to the data rate [Fig. 16(b)]. Table II compares the performance with previously reported state-of-the-art reference-less CDRs. VI. CONCLUSION A 0.65-to-10.5 Gb/s continuous-rate reference-less CDR has been implemented in 65 nm CMOS technology. The proposed asynchronous sampling makes it possible to adopt a SAR-based frequency tracking, hence enabling a fast frequency acquisition. In addition, an adaptive equalization is also achieved by a linear search while repeating the same frequency acquisition process. The fabricated CDR shows a wide lock range of 0.65-to-10.5 Gb/sat a BERof 10 12. The CDRconsumes26 mw at 10 Gb/s, and the power consumption is scaled down along with the data rate. APPENDIX Though this work used the full-rate clocking for data recovery, the sub-rate operation [4] [6], [10], [19] can be also considered to relieve the speed requirements of the sampler and clock buffers. This section investigates how the proposed frequency acquisition scheme can be used with a sub-rate clocking. The frequency acquisition with a sub-rate clock can be considered by inserting a frequency divider at the frontend of the transition detection core [Fig. 17(a)]. The division factor of 1 (n = 1), 2(n = 2), and4(n = 4) are used with the full-rate, the half-rate, and the quarter-rate clocks, respectively. RTL-level simulation [Fig. 17(b)] of /N Di.n reveals that it Fig. 17. Sub-rate operation. (a) Circuit. (b) Transfer characteristic of frequency detection. can still distinguish the boundary between f CLK D.R n and f CLK < D.R n,whered.r n is defined as D.R n = 1 = 1 Minimumpulseduration in Di.n n-ui. (7) The transfer curve which would be a straight line with the fullrate is shifted up and curved at the sub-rate. The shifted amount

CHOI et al.: A 0.65-TO-10.5 Gb/s REFERENCE-LESS CDR WITH ASYNCHRONOUS BAUD-RATE SAMPLING 285 TABLE III STOCHASTICANALYSIS OF SUB-RATE OPERATION increases as the division factor increases, causing degradation of sensitivity in the frequency detection. As explained in Section II, the sensitivity of the frequency detection relies on the occurrence of isolated pulse where most of missing transitions occur. Therefore, the lower sensitivity results from the lower occurrence of the isolated minimum n-ui pulse in Di.n. The division also generates pulses whose duration is not an integer multiple of the division factor (e.g. 3-UI in Di.2 and 5-to-7-UIs in Di.4 ). Since these pulses are longer than the minimum (i.e. 2-UI in Di.2 and4-uiindi.4 ), the asynchronous sampling eventually misses them if the sampling frequency is low enough. The existence of these pulses leads to the nonlinear characteristics with multiple break points where the slope changes [Fig. 17(b)]. To predict the transfer characteristic of /N Di.n through the stochastic analysis, an occurrence density of a given pattern in Di.1, which is an input of the frequency divider, needs to be defined. Assuming a k-bit pattern in Di.1 of a random bit stream is under consideration, the occurrence density (ρ pattern ) of the target k-bit pattern in Di.1 during T Monitor is derived as ρ pattern = (P robability of the target pattern in a k-bit window) 1 (Thenumberof k-bit windows in T Monitor ). T Monitor (8.a) Since T Monitor is n-ui-long, the total number of k-bit windows in T Monitor is n (k 1). Therefore, ρ pattern for the target k-bit pattern in Di.1 is approximated as ρ pattern = P pattern (n (k 1)) P pattern (if n k) n (8.b) where P pattern represents the probability of the target pattern in k-bit binary data. Following stochastic analysis focuses on the clock frequency range of 0.5 f CLK /D.R n < 1 since it sufficiently covers the case of the undersampling. Table III summarizes the rising transition density (ρ r [n]) of Di.n, pulse duration (T n [i]) contributed to the missing count, and corresponding occurrence density (ρ n [i]) of i-ui pulse in Di.n as variables for the stochastic analysis. Assuming the transition density of 1/2 in a Fig. 18. Occurrence density of n-ui pulse in Di.n. random bit stream, ρ r [1], ρ r [2], andρ r [4] respectively become 1/2 2, 1/2 3,and1/2 4. Note that T n [n] and ρ n [n] represent the pulse duration and the corresponding occurrence density of the isolated minimum-ui pulse in Di.n. Fig. 18 shows how the occurrence density (ρ n [n]) of n-ui pulse in Di.n is obtained by (8.b). The occurrence density of the n-ui pulse in Di.n is same as the occurrence density of the pattern in Di.1 which generates the n-ui pulse in Di.n by the frequency division. Therefore, the occurrence density of 1-UI pulse ( 010 or 101 ) in Di.1 without division is 1/2 2 and the occurrence density of 2-UI pulse in Di.2 equals 1/2 4 since it requires 0101 pattern in Di.1. In the same manner, the occurrence density of 4-UI pulse in Di.4 decreases to 1/2 7. Other occurrence densities (ρ n [i]) are also calculated with the same approach as in Fig. 18. The input sampler in Fig. 17(a) can clearly sample the pulses whose duration is longer than or equal to clock period (T CLK ) and stochastically misses the pulses whose duration is shorter than T CLK. With the clock period range of T n [i] < T CLK T n [i + 1], the pulses whose duration is between the minimum (T n [n]) and T n [i] can be either sampled or missed depending on the clock phase. Therefore, through the asynchronous sampling, the probability (P Miss [i]) of missing the given i-ui pulse whose duration is shorter than T CLK is derived as P Miss [i] = T CLK T n [i] T CLK =1 T n[i] T CLK. (9) Since the miss of a rising transition occurs by the miss of a pulse through the asynchronous sampling, /N Di.n is described as (10.a), shown at the bottom of the page, or = ρ r[n] (Σ i (1 T n [i]/t CLK ) ρ n [i]) (10.b) N Di.n ρ r [n] for all i s satisfying that T n [i] < T CLK. N Di.n = (# of rising transitions in Di.n) (# of missed pulses by the sampling) # of rising transitions in Di.n (10.a)

286 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016 frequency detection scheme can be also applicable to sub-rate CDRs as well as full-rate CDRs. Fig. 19. Verification of the stochastic analysis for sub-rate operation (0.5 f CLK /D.R n). In case of the full-rate operation (n = 1), 1-UI pulse in Di.1 is stochastically missed when T 1 [1] < T CLK T 1 [2]. According to (10.b), /N Di.1 is described as N Di.1 = 1, for T CLK T 1 [1] ρ r [1] ((1 T 1 [1]/T CLK ) ρ 1 [1]) ρ r [1], for T 1 [1]<T CLK T 1 [2] (11.a) or 1, for TCLK T 1 [1] = T N 1 [1] Di.1 T CLK, for T 1 [1] <T CLK T 1 [2] (11.b) and it is a time-domain interpretation of (4). With the same approach, /N Di.2 and /N Di.4 are also respectively estimated as N Di.2 = 1, for T CLK T 2 [2] ρ r [2] (Σ 2 i=2 (1 T 2[i]/T CLK ) ρ 2 [i]) ρ r [2], for T 2 [2]<T CLK T 2 [3] ρ r [2] (Σ 3 i=2 (1 T 2[i]/T CLK ) ρ 2 [i]) ρ r [2], for T 2 [3]<T CLK T 2 [4] (12) N Di.4 = 1, for T CLK T 4 [4] ρ r [4] (Σ 4 i=4 (1 T 4[i]/T CLK ) ρ 4 [i]) ρ r [4], for T 4 [4]<T CLK T 4 [5] ρ r [4] (Σ 5 i=4 (1 T 4[i]/T CLK ) ρ 4 [i]) ρ r [4], for T 4 [5]<T CLK T 4 [6] ρ r [4] (Σ 6 i=4 (1 T 4[i]/T CLK ) ρ 4 [i]) ρ r [4], for T 4 [6]<T CLK T 4 [7] ρ r [4] (Σ 7 i=4 (1 T 4[i]/T CLK ) ρ 4 [i]) ρ r [4], for T 4 [7]<T CLK T 4 [8] (13) REFERENCES [1] J. Scheytt et al., A 0.155, 0.622 and 2.488 Gb/s automatic bit-rate selecting clock and data recovery IC for bit-rate transparent SDH systems, IEEE J. Solid-State Circuits, vol. 34, no. 12, pp. 1935 1943, Dec. 1999. [2] D. Belot et al., A 3.3 V power adaptive 1244/622/155 Mbit/s transceiver for ATM, SONET/SDH, IEEE J. Solid-State Circuits, vol. 33, no. 7, pp. 1047 1058, Jul. 1998. [3] D. Dalton et al., A 12.5 Mb/s to 2.7 Gb/s continuous-rate CDR with automatic frequency acquisition and data-rate readback, IEEE J. Solid- State Circuits, vol. 40, no. 12, pp. 2713 2725, Dec. 2005. [4] S.-K. Lee et al., A 650 Mb/s-to-8 Gb/s referenceless CDR circuit with automatic acquisition of data rate, in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2009, pp. 184 185. [5] R. Inti et al., A 0.5-to-2.5 Gb/s reference-less half-rate digital CDR with unlimited frequency acquisition range and improved input duty-cycle error tolerance, in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2011, pp. 438 439. [6] G. Shu et al., A 4-to-10.5 Gb/s 2.2 mw/gb/s continuous-rate digital CDR with automatic frequency acquisition in 65 nm CMOS, in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2014, pp. 150 151. [7] S. Huang et al., An 8.2-to-10.3 Gb/s full-rate linear reference-less CDR without frequency detector in 0.18 μm CMOS, inproc. IEEE Int. Solid- State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2014, pp. 152 153. [8] J.-S. Choi et al., A 0.18-μm CMOS 3.5-Gb/s continuous-time adaptive cable equalizer using enhanced low-frequency gain control method, IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 419 425, Mar. 2004. [9] Y.-F. Lin et al., A 5 20 Gb/s power scalable adaptive linear equalizer using edge counting, in Proc. IEEE Asian Solid-State Circuits Conf. (A-SSCC), Nov. 2014, pp. 273 276. [10] J. Savoj et al., A 10 Gb/s CMOS clock and data recovery circuit with a half-rate binary phase/frequency detector, IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 1 13, Jan. 2003. [11] J. Lee et al., A 20-Gb/s full-rate linear clock and data recovery circuit with automatic frequency acquisition, IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3590 3602, Dec. 2009. [12] J. D. H. Alexander, Clock recovery from random binary signals, Electron. Lett., vol. 11, no. 22, pp. 541 542, Oct. 1975. [13] R. Farjad-Rad et al., 0.622 8.0 Gbps 150 mw serial IO macrocell with fully flexible preemphasis and equalization, in Proc. Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2003, pp. 63 66. [14] A. Pottbacker et al., A Si bipolar phase and frequency detector IC for clock extraction up to 8 Gb/s, IEEE J. Solid-State Circuits, vol. 27, no. 12, pp. 1747 1751, Dec. 1992. [15] D. G. Messerschmitt, Frequency detectors for PLL acquisition in timing and carrier recovery, IEEE Trans. Commun., vol. COM-27, pp. 1288 1295, Sep. 1979. [16] F. M. Gardner, Properties of frequency difference detectors, IEEE Trans. Commun., vol. COM-33, no. 2, pp. 131 138, Feb. 1985. [17] N. Kocaman et al., An 8.5 11.5-Gbps SONET transceiver with referenceless frequency acquisition, IEEE J. Solid-State Circuits, vol. 48, no. 8, pp. 1875 1884, Aug. 2013. [18] S. Sidiropoulos et al., Adaptive bandwidth DLLs and PLLs using regulated supply CMOS buffers, in Proc. Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2000, pp. 124 127. [19] S.-J. Song et al., A 4-Gb/s CMOS clock and data recovery circuit using 1/8-rate clock technique, IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1213 1219, Jul. 2003. Fig. 19 shows both simulated and estimated /N Di.n versus f CLK /D.R n. In this figure, f CLK =1/T CLK and D.R n = 1/T n [n] are used for the frequency-domain representation. It verifies that (10.b) precisely explains the frequency detection characteristic at both full-rate and sub-rate operation. Although the sub-rate operation suffers from the lower detection sensitivity as shown by both simulation and analysis, it can be easily overcome at the cost of longer detection time by increasing the number of counter bits. Therefore, the proposed Seungnam Choi received the B.S. degree in Electronic and Electrical Engineering from Pohang University of Science and Technology (POSTECH), Korea, in 2011, where he is currently working toward the Ph.D. degree. His research interests include data converters and high-speed links.

CHOI et al.: A 0.65-TO-10.5 Gb/s REFERENCE-LESS CDR WITH ASYNCHRONOUS BAUD-RATE SAMPLING 287 Hyunwoo Son received the B.S. degree in Electrical Engineering from Pohang University of Science and Technology (POSTECH), Korea, in 2012, where he is currently pursuing the Ph.D. degree. His research interests include sensor interface circuit, delta-sigma ADC, and neuromorphic circuit. Jongshin Shin received the B.S., M.S., and Ph.D. degree in electronic and electrical engineering from Seoul National University, Seoul, Korea, in 1997, 1999, and 2004, respectively. He joined Samsung Electronics, Hwasung, Korea, in 2004 as a Member of Technical Staff and currently he is a Principal Engineer working on serial link design for mobile and home applications. His research interest include clock generator, high-speed IO, clock and data recovery circuit. Sang-Hyun Lee received B.S., M.S., and Ph.D. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1988, 1990, and 2002, respectively. He joined System-LSI Division, Samsung Electronics, Hwasung, Korea, in 2013, as a Vice President. He is responsible for the development of high speed interface IPs, Link controllers, and Security IPs, bringing the leading edge IPs for mobile processors, memory, display, image, etc. Before joining Samsung, he was with Silicon Image, Xilinx, and nvidia, developing various kinds of interface IPs for TVs, FPGAs, graphic processors, and mobile processors. Byungsub Kim (M 11) received the B.S. degree in electronic and electrical engineering (EEE) from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2000, and the M.S. and Ph.D. degrees in electrical engineering and computer science (EECS) from Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, in 2004 and 2010, respectively. From 2010 to 2011, he worked as an analog design engineer at Intel Corporation, Hillsboro, OR, USA. In 2012, he joined the faculty of the department of Electronic and Electrical Engineering at POSTECH, where he is currently working as an assistant professor. He received several honorable awards. In 2011, Dr. Kim received MIT EECS Jin-Au Kong Outstanding Doctoral Thesis Honorable Mentions, and 2009 IEEE JOURNAL OF SOLID-STATE CIRCUITS Best Paper Award. In 2009, he received Analog Device Inc. Outstanding Student Designer Award from MIT, and was also a corecipient of the Beatrice Winner Award for Editorial Excellence at the 2009 IEEE Internal Solid-State Circuits Conference. Hong-June Park (M 88 SM 13) received the B.S. degree from the Department of Electronic Engineering, Seoul National University, Seoul, Korea, in 1979, the M.S. degree from the Korea Advanced Institute of Science and Technology, Taejon, in 1981, and the Ph.D. degree from the Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, CA, USA, in 1989. He was a CAD engineer with ETRI, Korea, from 1981 to 1984 and a Senior Engineer in the TCAD Department of INTEL from 1989 to 1991. In 1991, he joined the Faculty of Electronic and Electrical Engineering, Pohang University of Science and Technology (POSTECH), Gyeongbuk, Korea, where he is currently Professor. His research interests include CMOS analog circuit design such as highspeed interface circuits, ROIC of touch sensors and analog/digital beamformer circuits for ultrasound medical imaging. Prof. Park is a Member of IEEK. He served as the Editor-in-Chief of the Journal of Semiconductor Technology and Science, an SCIE journal ( http://www.jsts.org) from 2009 to 2012, also as the Vice President of IEEK in 2012 and as the Technical Program Committee Member of ISSCC, SOVC, and A-SSCC for several years. He is the recipient of the 2012 Haedong Academic Award from IEEK and Haedong foundation. Jae-Yoon Sim (M 02 SM 13) received the B.S., M.S., and Ph.D. degrees in electronic and electrical engineering from Pohang University of Science and Technology (POSTECH), Korea, in 1993, 1995, and 1999, respectively. From 1999 to 2005, he worked as a Senior Engineer at Samsung Electronics, Korea. From 2003 to 2005, he was a Postdoctoral Researcher with the University of Southern California, Los Angeles, CA, USA. From 2011 to 2012, he was a Visiting Scholar with the University of Michigan, Ann Arbor, MI, USA. In 2005, he joined POSTECH, where he is currently an Associate Professor. He has served in the Technical Program Committees of the International Solid-State Circuits Conference (ISSCC), Symposium on VLSI Circuits, and Asian Solid-State Circuits Conference. He is a corecipient of the Takuo Sugano Award at ISSCC 2001. His research interests include high-speed serial/parallel links, PLLs, data converters, and power module for plasma generation.

本文献由 学霸图书馆 - 文献云下载 收集自网络, 仅供学习交流使用 学霸图书馆 (www.xuebalib.com) 是一个 整合众多图书馆数据库资源, 提供一站式文献检索和下载服务 的 24 小时在线不限 IP 图书馆 图书馆致力于便利 促进学习与科研, 提供最强文献下载服务 图书馆导航 : 图书馆首页文献云下载图书馆入口外文数据库大全疑难文献辅助工具