EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

Similar documents
Advanced PDK and Technologies accessible through ASCENT

EUROSOI+- FP of /06/2011

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

How material engineering contributes to delivering innovation in the hyper connected world

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

INTRODUCTION TO MOS TECHNOLOGY

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Drain. Drain. [Intel: bulk-si MOSFETs]

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles

Session 3: Solid State Devices. Silicon on Insulator

HOW TO CONTINUE COST SCALING. Hans Lebon

SOI technology platforms for 5G: Opportunities of collaboration

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

FinFET vs. FD-SOI Key Advantages & Disadvantages

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Enabling Breakthroughs In Technology

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

MAPPER: High throughput Maskless Lithography

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1


FinFET Devices and Technologies

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

First Results of 0.15μm CMOS SOI Pixel Detector

3D SOI elements for System-on-Chip applications

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Work package 4: Towards a virtual foundry

Process Variability and the SUPERAID7 Approach

Fully Depleted Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Reducing Transistor Variability For High Performance Low Power Chips

Newer process technology (since 1999) includes :

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Nanowire Transistors. Physics of Devices and Materials in One Dimension

2014, IJARCSSE All Rights Reserved Page 1352

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

IOLTS th IEEE International On-Line Testing Symposium

Envisioning the Future of Optoelectronic Interconnects:

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

/$ IEEE

Effect of Channel Doping Concentration on the Impact ionization of n- Channel Fully Depleted SOI MOSFET

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

EMT 251 Introduction to IC Design

A Review of Low-Power VLSI Technology Developments

DATASHEET CADENCE QRC EXTRACTION

Comparison of Different Cell Concepts for 1200V- NPT-IGBT's

Sticks Diagram & Layout. Part II

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Monolithic Pixel Detector in a 0.15µm SOI Technology

Semiconductor Devices

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE

INTRODUCTION: Basic operating principle of a MOSFET:

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

PHYSICS OF SEMICONDUCTOR DEVICES

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Coordination Action to enable an effective European 450 mm Equipment & Materials Network

A Novel Technique for Suppression of Corner Effect in Square Gate All Around Mosfet

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

Innovation to Advance Moore s Law Requires Core Technology Revolution

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Experiences and Benefits of 16nm and 10nm FinFET Development

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

EE 434 ASIC & Digital Systems

A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology.

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

A High Breakdown Voltage Two Zone Step Doped Lateral Bipolar Transistor on Buried Oxide Thick Step

Numerical Simulation of a Nanoscale DG N-MOSFET Using SILVACO Software

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Si and InP Integration in the HELIOS project

FUNDAMENTALS OF MODERN VLSI DEVICES

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Southern Methodist University Dallas, TX, Department of Physics. Southern Methodist University Dallas, TX, 75275

AS THE semiconductor process is scaled down, the thickness

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Design & Simulation of Multi Gate Piezoelectric FET Devices for Sensing Applications

Beyond Moore the challenge for Europe

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

L MOSFETS, IDENTIFICATION, CURVES. PAGE 1. I. Review of JFET (DRAW symbol for n-channel type, with grounded source)

MODELING AND SIMULATION OF ADVANCED FLOATING BODY Z-RAM MEMORY CELLS

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

Transcription:

EUROSOI+- FP7-216373 3 of 38 30/06/2011 1. FINAL PUBLISHABLE SUMMARY REPORT

EUROSOI+- FP7-216373 4 of 38 30/06/2011

EUROSOI+- FP7-216373 5 of 38 30/06/2011 The main and last objective of EUROSOI Network is to establish Europe as the international scientific leader in Silicon on Insulator (SOI) Technology, Devices, Circuits and Systems. In this sense, the EUROSOI+ co-ordination efforts during this second reporting period have been focused on the promotion of those activities that contribute to improving the role of the European Semiconductor Industry with regard to SOI and to the knowledge that will enable Europe to compete internationally. Although EUROSOI achievements during FP6 have been many and very important for the European SOI technology, and the situation of SOI technology in Europe has greatly improved during the last three years, there are plenty of challenges at the near future. Even if we now are in the right direction, Europe is still far away from the pursued international leadership. After the elaboration of the State-of-the-Art report and EUROSOI Roadmap we have identified the main actors, the strong points and weaknesses of Silicon- On-Insulator technology in Europe. All this information is collected in the EUROSOI Roadmap, where the challenges which will have to be faced in the future are also identified. Our first stage was a passive one (collecting and structuring the information). This second stage is being much more active; we are not only looking at around us, collecting and re-structuring the available information, but we have passed to the action in a more active role, developing the tasks, fostering creation of consortiums and leading the projects and proposals which give Europe and the European Semiconductor Industry the international leadership which they deserve as pioneers and big developers of SOI technology. The best way to reach this goal is to try to spread the SOI technology all over Europe, making it accessible to any European semiconductor actor: We want that SOI technology is reachable to any European research group or Fabless Semiconductor company; we want that any circuit design has the chance to become a SOI circuit using European technology. To do so, we have worked in two directions: i) the training of researchers and engineers in the particularities of this technology, i.e., in the design of circuits taking advantage of SOI technology. Spreading and promotion of the benefits and advantages of SOI technology. In particular, the following actions were taken: i. Development of a website database: http://www.eurosoi.org ii. Organization of seven (7) SOI training events iii. Organization of four (4) international workshops (EUROSOI workshops) iv. Organization of four (4) discussion panels: The opinion of SOI experts. v. Funding of thirty-five (35) student grants to attend EUROSOI workshops. vi. Twenty three (23) scientific exchanges have been funded (short visits of researchers). vii. Elaboration of six (6) technical focused reports. viii. Publication of sixty-one peer-reviewed papers in dedicated issues of Solid State Electronics Journal (Elsevier). ix. Publication of two books:

EUROSOI+- FP7-216373 6 of 38 30/06/2011 1. FinFETs and other Multigate Transistors, Editor: Jean-Pierre Colinge, Springer Science, 2008, ISBN:978-0-387-71751-7 2. Semiconductor-on-Insulator Materials for Nanoelectronics Applications, Editors: A.Nazarov, J.P.Colinge, F.Balestra, J.P.Raskin, F.Gamiz, V.S.Lysenko, Springer Science, 2011, ISBN: 978-3-642-15867-4 x. Sponsoring of SOI-related events all over the world. ii) the development of a platform which offers SOI technology for the actual fabrication of SOI circuits It is widely accepted by the International Semiconductor Community that most of the electronic circuits (in the whole application spectra) will have a better performance, and therefore, they will be more competitive, if they are built using SOI technology. However, nowadays it is not easy to have access to this technology, even when we count in Europe with some of the most advanced SOI technologies all over the World. Up to now, a lot of research activities have been pursued in Europe around SOI at different levels: substrate, device, and circuit. Since few years, advanced SOI technologies have been developed in research labs in order to address the downscaling required for 32 nm nodes and below. Today such researches are mainly dedicated to technology development. Among the various ones, we can mention the LETI Fully Depleted SOI technology (developed with high-k and metal gate) that currently has enough maturity to be evaluated at circuit level. So, it becomes obvious that a research-dedicated platform is necessary in order to address the circuit design aspects, focussing on the advantages of such technology for Low Power applications. Access to such platform is a long-time wish of European researchers. Hence, the main goal of EUROSOI+ is to coordinate the formation of such research-dedicated platform which will provide, through the integration in EUROPRACTICE, prototyping and Multi-Project-Wafers (MPW) in SOI open to all European companies using LETI SOI process. We are co-ordinating all the activities which will make this platform a reality. In fact, CEA-LETI has launched an Exploratory MPW (Multi Project Wafers) initiative based on FDSOI (Fully Depleted SOI) 20nm process through CMP (Circuit Multi Project, http://cmp.imag.fr), opening the access of its 300mm infrastructure to the design community. This MPW offer is partly supported by EUROSOI+. This process will allow Researchers and Engineers to experiment the benefits of SOI on an advanced technology node. CEA-LETI has developed both an advanced High-K/Metal Gate FDSOI process and a number of specific design and simulation tools based on industry standard design flow packages. FDSOI technology presents key advantages over conventional bulk technology for future nodes: the electrostatic integrity of the transistors is ensured by the thinness of the body without the need for extra litho steps, like in the case of FinFETs, or of channel doping. The consequence is a planar technology that exhibits at the same time excellent short channel behaviour and significant improvement of the variability as shown in a number of recent papers. The basis of LETI technology offer will be the following:

EUROSOI+- FP7-216373 7 of 38 30/06/2011 CMOS transistors with an undoped channel and a silicon film thickness of 6nm High-k / Metal Gate stack Single threshold voltage (V th ) n- and pmosfet with balanced V th of ±0.4V Associated Design Kit, including SPICE model (Verilog-A language) model cards extracted from silicon data, p-cells, DRC, LVS, schematic, parasitics Design Kit documentation The first run is scheduled to be launched in September 2011. All details can be found at CMP website (http://cmp.imag.fr).